Merge branch 'ff/netlib'
[collectd.git] / src / Makefile.am
1 SUBDIRS = libcollectdclient
2 if BUILD_WITH_OWN_LIBOCONFIG
3 SUBDIRS += liboconfig
4 endif
5
6 if COMPILER_IS_GCC
7 AM_CFLAGS = -Wall -Werror
8 endif
9
10 AM_CPPFLAGS = -DPREFIX='"${prefix}"'
11 AM_CPPFLAGS += -DCONFIGFILE='"${sysconfdir}/${PACKAGE_NAME}.conf"'
12 AM_CPPFLAGS += -DLOCALSTATEDIR='"${localstatedir}"'
13 AM_CPPFLAGS += -DPKGLOCALSTATEDIR='"${localstatedir}/lib/${PACKAGE_NAME}"'
14 if BUILD_FEATURE_DAEMON
15 AM_CPPFLAGS += -DPIDFILE='"${localstatedir}/run/${PACKAGE_NAME}.pid"'
16 endif
17 AM_CPPFLAGS += -DPLUGINDIR='"${pkglibdir}"'
18 AM_CPPFLAGS += -DPKGDATADIR='"${pkgdatadir}"'
19
20 sbin_PROGRAMS = collectd collectdmon
21 bin_PROGRAMS = collectd-nagios collectdctl collectd-tg
22
23 collectd_SOURCES = collectd.c collectd.h \
24                    common.c common.h \
25                    configfile.c configfile.h \
26                    filter_chain.c filter_chain.h \
27                    meta_data.c meta_data.h \
28                    plugin.c plugin.h \
29                    utils_avltree.c utils_avltree.h \
30                    utils_cache.c utils_cache.h \
31                    utils_complain.c utils_complain.h \
32                    utils_heap.c utils_heap.h \
33                    utils_ignorelist.c utils_ignorelist.h \
34                    utils_llist.c utils_llist.h \
35                    utils_parse_option.c utils_parse_option.h \
36                    utils_tail_match.c utils_tail_match.h \
37                    utils_match.c utils_match.h \
38                    utils_subst.c utils_subst.h \
39                    utils_tail.c utils_tail.h \
40                    utils_time.c utils_time.h \
41                    types_list.c types_list.h
42
43 collectd_CPPFLAGS =  $(AM_CPPFLAGS) $(LTDLINCL)
44 collectd_CFLAGS = $(AM_CFLAGS)
45 collectd_LDFLAGS = -export-dynamic
46 collectd_LDADD = -lm
47 collectd_DEPENDENCIES =
48
49 # Link to these libraries..
50 if BUILD_WITH_LIBRT
51 collectd_LDADD += -lrt
52 endif
53 if BUILD_WITH_LIBPOSIX4
54 collectd_LDADD += -lposix4
55 endif
56 if BUILD_WITH_LIBSOCKET
57 collectd_LDADD += -lsocket
58 endif
59 if BUILD_WITH_LIBRESOLV
60 collectd_LDADD += -lresolv
61 endif
62 if BUILD_WITH_LIBPTHREAD
63 collectd_LDADD += -lpthread
64 endif
65 if BUILD_WITH_LIBKSTAT
66 collectd_LDADD += -lkstat
67 endif
68 if BUILD_WITH_LIBDEVINFO
69 collectd_LDADD += -ldevinfo
70 endif
71 if BUILD_AIX
72 collectd_LDFLAGS += -Wl,-bexpall,-brtllib
73 endif
74
75 # The daemon needs to call sg_init, so we need to link it against libstatgrab,
76 # too. -octo
77 if BUILD_WITH_LIBSTATGRAB
78 collectd_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
79 collectd_LDADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
80 endif
81
82 if BUILD_WITH_OWN_LIBOCONFIG
83 collectd_LDADD += $(LIBLTDL) liboconfig/liboconfig.la
84 collectd_DEPENDENCIES += liboconfig/liboconfig.la
85 else
86 collectd_LDADD += -loconfig
87 endif
88
89 collectdmon_SOURCES = collectdmon.c
90 collectdmon_CPPFLAGS = $(AM_CPPFLAGS)
91
92 collectd_nagios_SOURCES = collectd-nagios.c
93 collectd_nagios_LDADD =
94 if BUILD_WITH_LIBSOCKET
95 collectd_nagios_LDADD += -lsocket
96 endif
97 if BUILD_AIX
98 collectd_nagios_LDADD += -lm
99 endif
100
101 collectd_nagios_LDADD += libcollectdclient/libcollectdclient.la
102 collectd_nagios_DEPENDENCIES = libcollectdclient/libcollectdclient.la
103
104
105 collectdctl_SOURCES = collectdctl.c
106 collectdctl_LDADD =
107 if BUILD_WITH_LIBSOCKET
108 collectdctl_LDADD += -lsocket
109 endif
110 if BUILD_AIX
111 collectdctl_LDADD += -lm
112 endif
113 collectdctl_LDADD += libcollectdclient/libcollectdclient.la
114 collectdctl_DEPENDENCIES = libcollectdclient/libcollectdclient.la
115
116 collectd_tg_SOURCES = collectd-tg.c \
117                       utils_heap.c utils_heap.h
118 collectd_tg_LDADD =
119 if BUILD_WITH_LIBSOCKET
120 collectd_tg_LDADD += -lsocket
121 endif
122 if BUILD_AIX
123 collectd_tg_LDADD += -lm
124 endif
125 collectd_tg_LDADD += libcollectdclient/libcollectdclient.la
126 collectd_tg_DEPENDENCIES = libcollectdclient/libcollectdclient.la
127
128
129 pkglib_LTLIBRARIES = 
130
131 BUILT_SOURCES = 
132 CLEANFILES = 
133
134 if BUILD_PLUGIN_AGGREGATION
135 pkglib_LTLIBRARIES += aggregation.la
136 aggregation_la_SOURCES = aggregation.c \
137                          utils_vl_lookup.c utils_vl_lookup.h
138 aggregation_la_LDFLAGS = -module -avoid-version
139 aggregation_la_LIBADD =
140 collectd_LDADD += "-dlopen" aggregation.la
141 collectd_DEPENDENCIES += aggregation.la
142 endif
143
144 if BUILD_PLUGIN_AMQP
145 pkglib_LTLIBRARIES += amqp.la
146 amqp_la_SOURCES = amqp.c \
147                   utils_cmd_putval.c utils_cmd_putval.h \
148                   utils_format_graphite.c utils_format_graphite.h \
149                   utils_format_json.c utils_format_json.h
150 amqp_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBRABBITMQ_LDFLAGS)
151 amqp_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBRABBITMQ_CPPFLAGS)
152 amqp_la_LIBADD = $(BUILD_WITH_LIBRABBITMQ_LIBS)
153 collectd_LDADD += "-dlopen" amqp.la
154 collectd_DEPENDENCIES += amqp.la
155 endif
156
157 if BUILD_PLUGIN_APACHE
158 pkglib_LTLIBRARIES += apache.la
159 apache_la_SOURCES = apache.c
160 apache_la_LDFLAGS = -module -avoid-version
161 apache_la_CFLAGS = $(AM_CFLAGS)
162 apache_la_LIBADD =
163 collectd_LDADD += "-dlopen" apache.la
164 if BUILD_WITH_LIBCURL
165 apache_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
166 apache_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
167 endif
168 collectd_DEPENDENCIES += apache.la
169 endif
170
171 if BUILD_PLUGIN_APCUPS
172 pkglib_LTLIBRARIES += apcups.la
173 apcups_la_SOURCES = apcups.c
174 apcups_la_LDFLAGS = -module -avoid-version
175 apcups_la_LIBADD =
176 if BUILD_WITH_LIBSOCKET
177 apcups_la_LIBADD += -lsocket
178 endif
179 collectd_LDADD += "-dlopen" apcups.la
180 collectd_DEPENDENCIES += apcups.la
181 endif
182
183 if BUILD_PLUGIN_APPLE_SENSORS
184 pkglib_LTLIBRARIES += apple_sensors.la
185 apple_sensors_la_SOURCES = apple_sensors.c
186 apple_sensors_la_LDFLAGS = -module -avoid-version
187 apple_sensors_la_LIBADD = -lIOKit
188 collectd_LDADD += "-dlopen" apple_sensors.la
189 collectd_DEPENDENCIES += apple_sensors.la
190 endif
191
192 if BUILD_PLUGIN_ASCENT
193 pkglib_LTLIBRARIES += ascent.la
194 ascent_la_SOURCES = ascent.c
195 ascent_la_LDFLAGS = -module -avoid-version
196 ascent_la_CFLAGS = $(AM_CFLAGS) \
197                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
198 ascent_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
199 collectd_LDADD += "-dlopen" ascent.la
200 collectd_DEPENDENCIES += ascent.la
201 endif
202
203 if BUILD_PLUGIN_BATTERY
204 pkglib_LTLIBRARIES += battery.la
205 battery_la_SOURCES = battery.c
206 battery_la_LDFLAGS = -module -avoid-version
207 battery_la_LIBADD =
208 if BUILD_WITH_LIBIOKIT
209 battery_la_LIBADD += -lIOKit
210 endif
211 collectd_LDADD += "-dlopen" battery.la
212 collectd_DEPENDENCIES += battery.la
213 endif
214
215 if BUILD_PLUGIN_BIND
216 pkglib_LTLIBRARIES += bind.la
217 bind_la_SOURCES = bind.c
218 bind_la_LDFLAGS = -module -avoid-version
219 bind_la_CFLAGS = $(AM_CFLAGS) \
220                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
221 bind_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
222 collectd_LDADD += "-dlopen" bind.la
223 collectd_DEPENDENCIES += bind.la
224 endif
225
226 if BUILD_PLUGIN_CONNTRACK
227 pkglib_LTLIBRARIES += conntrack.la
228 conntrack_la_SOURCES = conntrack.c
229 conntrack_la_LDFLAGS = -module -avoid-version
230 collectd_LDADD += "-dlopen" conntrack.la
231 collectd_DEPENDENCIES += conntrack.la
232 endif
233
234 if BUILD_PLUGIN_CONTEXTSWITCH
235 pkglib_LTLIBRARIES += contextswitch.la
236 contextswitch_la_SOURCES = contextswitch.c
237 contextswitch_la_LDFLAGS = -module -avoid-version
238 contextswitch_la_LIBADD =
239 if BUILD_WITH_PERFSTAT
240 contextswitch_la_LIBADD += -lperfstat
241 endif
242 collectd_LDADD += "-dlopen" contextswitch.la
243 collectd_DEPENDENCIES += contextswitch.la
244 endif
245
246 if BUILD_PLUGIN_CPU
247 pkglib_LTLIBRARIES += cpu.la
248 cpu_la_SOURCES = cpu.c
249 cpu_la_CFLAGS = $(AM_CFLAGS)
250 cpu_la_LDFLAGS = -module -avoid-version
251 cpu_la_LIBADD = 
252 if BUILD_WITH_LIBKSTAT
253 cpu_la_LIBADD += -lkstat
254 endif
255 if BUILD_WITH_LIBDEVINFO
256 cpu_la_LIBADD += -ldevinfo
257 endif
258 if BUILD_WITH_LIBSTATGRAB
259 cpu_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
260 cpu_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
261 endif
262 if BUILD_WITH_PERFSTAT
263 cpu_la_LIBADD += -lperfstat
264 endif
265 collectd_LDADD += "-dlopen" cpu.la
266 collectd_DEPENDENCIES += cpu.la
267 endif
268
269 if BUILD_PLUGIN_CPUFREQ
270 pkglib_LTLIBRARIES += cpufreq.la
271 cpufreq_la_SOURCES = cpufreq.c
272 cpufreq_la_LDFLAGS = -module -avoid-version
273 collectd_LDADD += "-dlopen" cpufreq.la
274 collectd_DEPENDENCIES += cpufreq.la
275 endif
276
277 if BUILD_PLUGIN_CSV
278 pkglib_LTLIBRARIES += csv.la
279 csv_la_SOURCES = csv.c
280 csv_la_LDFLAGS = -module -avoid-version
281 collectd_LDADD += "-dlopen" csv.la
282 collectd_DEPENDENCIES += csv.la
283 endif
284
285 if BUILD_PLUGIN_CURL
286 pkglib_LTLIBRARIES += curl.la
287 curl_la_SOURCES = curl.c
288 curl_la_LDFLAGS = -module -avoid-version
289 curl_la_CFLAGS = $(AM_CFLAGS)
290 curl_la_LIBADD =
291 collectd_LDADD += "-dlopen" curl.la
292 if BUILD_WITH_LIBCURL
293 curl_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
294 curl_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
295 endif
296 collectd_DEPENDENCIES += curl.la
297 endif
298
299 if BUILD_PLUGIN_CURL_JSON
300 pkglib_LTLIBRARIES += curl_json.la
301 curl_json_la_SOURCES = curl_json.c
302 curl_json_la_CFLAGS = $(AM_CFLAGS)
303 curl_json_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBYAJL_LDFLAGS)
304 curl_json_la_CPPFLAGS = $(BUILD_WITH_LIBYAJL_CPPFLAGS)
305 curl_json_la_LIBADD = $(BUILD_WITH_LIBYAJL_LIBS)
306 if BUILD_WITH_LIBCURL
307 curl_json_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
308 curl_json_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
309 endif
310 collectd_LDADD += "-dlopen" curl_json.la
311 collectd_DEPENDENCIES += curl_json.la
312 endif
313
314 if BUILD_PLUGIN_CURL_XML
315 pkglib_LTLIBRARIES += curl_xml.la
316 curl_xml_la_SOURCES = curl_xml.c
317 curl_xml_la_LDFLAGS = -module -avoid-version
318 curl_xml_la_CFLAGS = $(AM_CFLAGS) \
319                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
320 curl_xml_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
321 collectd_LDADD += "-dlopen" curl_xml.la
322 collectd_DEPENDENCIES += curl_xml.la
323 endif
324
325 if BUILD_PLUGIN_DBI
326 pkglib_LTLIBRARIES += dbi.la
327 dbi_la_SOURCES = dbi.c \
328                  utils_db_query.c utils_db_query.h
329 dbi_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBDBI_CPPFLAGS)
330 dbi_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBDBI_LDFLAGS)
331 dbi_la_LIBADD = $(BUILD_WITH_LIBDBI_LIBS)
332 collectd_LDADD += "-dlopen" dbi.la
333 collectd_DEPENDENCIES += dbi.la
334 endif
335
336 if BUILD_PLUGIN_DF
337 pkglib_LTLIBRARIES += df.la
338 df_la_SOURCES = df.c utils_mount.c utils_mount.h
339 df_la_LDFLAGS = -module -avoid-version
340 collectd_LDADD += "-dlopen" df.la
341 collectd_DEPENDENCIES += df.la
342 endif
343
344 if BUILD_PLUGIN_DISK
345 pkglib_LTLIBRARIES += disk.la
346 disk_la_SOURCES = disk.c
347 disk_la_CFLAGS = $(AM_CFLAGS)
348 disk_la_LDFLAGS = -module -avoid-version
349 disk_la_LIBADD = 
350 if BUILD_WITH_LIBKSTAT
351 disk_la_LIBADD += -lkstat
352 endif
353 if BUILD_WITH_LIBDEVINFO
354 disk_la_LIBADD += -ldevinfo
355 endif
356 if BUILD_WITH_LIBIOKIT
357 disk_la_LIBADD += -lIOKit
358 endif
359 if BUILD_WITH_LIBSTATGRAB
360 disk_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)  
361 disk_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
362 endif
363 if BUILD_WITH_PERFSTAT
364 disk_la_LIBADD += -lperfstat
365 endif
366 collectd_LDADD += "-dlopen" disk.la
367 collectd_DEPENDENCIES += disk.la
368 endif
369
370 if BUILD_PLUGIN_DNS
371 pkglib_LTLIBRARIES += dns.la
372 dns_la_SOURCES = dns.c utils_dns.c utils_dns.h
373 dns_la_LDFLAGS = -module -avoid-version
374 dns_la_LIBADD = -lpcap -lpthread
375 collectd_LDADD += "-dlopen" dns.la
376 collectd_DEPENDENCIES += dns.la
377 endif
378
379 if BUILD_PLUGIN_EMAIL
380 pkglib_LTLIBRARIES += email.la
381 email_la_SOURCES = email.c
382 email_la_LDFLAGS = -module -avoid-version
383 email_la_LIBADD = -lpthread
384 collectd_LDADD += "-dlopen" email.la
385 collectd_DEPENDENCIES += email.la
386 endif
387
388 if BUILD_PLUGIN_ENTROPY
389 pkglib_LTLIBRARIES += entropy.la
390 entropy_la_SOURCES = entropy.c
391 entropy_la_LDFLAGS = -module -avoid-version
392 collectd_LDADD += "-dlopen" entropy.la
393 collectd_DEPENDENCIES += entropy.la
394 endif
395
396 if BUILD_PLUGIN_EXEC
397 pkglib_LTLIBRARIES += exec.la
398 exec_la_SOURCES = exec.c \
399                   utils_cmd_putnotif.c utils_cmd_putnotif.h \
400                   utils_cmd_putval.c utils_cmd_putval.h
401 exec_la_LDFLAGS = -module -avoid-version
402 exec_la_LIBADD = -lpthread
403 collectd_LDADD += "-dlopen" exec.la
404 collectd_DEPENDENCIES += exec.la
405 endif
406
407 if BUILD_PLUGIN_ETHSTAT
408 pkglib_LTLIBRARIES += ethstat.la
409 ethstat_la_SOURCES = ethstat.c
410 ethstat_la_LDFLAGS = -module -avoid-version
411 collectd_LDADD += "-dlopen" ethstat.la
412 collectd_DEPENDENCIES += ethstat.la
413 endif
414
415 if BUILD_PLUGIN_FILECOUNT
416 pkglib_LTLIBRARIES += filecount.la
417 filecount_la_SOURCES = filecount.c
418 filecount_la_LDFLAGS = -module -avoid-version
419 collectd_LDADD += "-dlopen" filecount.la
420 collectd_DEPENDENCIES += filecount.la
421 endif
422
423 if BUILD_PLUGIN_GMOND
424 pkglib_LTLIBRARIES += gmond.la
425 gmond_la_SOURCES = gmond.c
426 gmond_la_CPPFLAGS = $(AM_CPPFLAGS) $(GANGLIA_CPPFLAGS)
427 gmond_la_LDFLAGS = -module -avoid-version $(GANGLIA_LDFLAGS)
428 gmond_la_LIBADD = $(GANGLIA_LIBS)
429 collectd_LDADD += "-dlopen" gmond.la
430 collectd_DEPENDENCIES += gmond.la
431 endif
432
433 if BUILD_PLUGIN_HDDTEMP
434 pkglib_LTLIBRARIES += hddtemp.la
435 hddtemp_la_SOURCES = hddtemp.c
436 hddtemp_la_LDFLAGS = -module -avoid-version
437 hddtemp_la_LIBADD =
438 if BUILD_WITH_LIBSOCKET
439 hddtemp_la_LIBADD += -lsocket
440 endif
441 collectd_LDADD += "-dlopen" hddtemp.la
442 collectd_DEPENDENCIES += hddtemp.la
443 endif
444
445 if BUILD_PLUGIN_INTERFACE
446 pkglib_LTLIBRARIES += interface.la
447 interface_la_SOURCES = interface.c
448 interface_la_CFLAGS = $(AM_CFLAGS)
449 interface_la_LDFLAGS = -module -avoid-version
450 interface_la_LIBADD =
451 collectd_LDADD += "-dlopen" interface.la
452 collectd_DEPENDENCIES += interface.la
453 if BUILD_WITH_LIBSTATGRAB
454 interface_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
455 interface_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
456 else
457 if BUILD_WITH_LIBKSTAT
458 interface_la_LIBADD += -lkstat
459 endif
460 if BUILD_WITH_LIBDEVINFO
461 interface_la_LIBADD += -ldevinfo
462 endif # BUILD_WITH_LIBDEVINFO
463 endif # !BUILD_WITH_LIBSTATGRAB
464 if BUILD_WITH_PERFSTAT
465 interface_la_LIBADD += -lperfstat
466 endif
467 endif # BUILD_PLUGIN_INTERFACE
468
469 if BUILD_PLUGIN_IPTABLES
470 pkglib_LTLIBRARIES += iptables.la
471 iptables_la_SOURCES = iptables.c
472 iptables_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBIPTC_CPPFLAGS)
473 iptables_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBIPTC_LDFLAGS)
474 iptables_la_LIBADD = -liptc
475 collectd_LDADD += "-dlopen" iptables.la
476 collectd_DEPENDENCIES += iptables.la
477 endif
478
479 if BUILD_PLUGIN_IPMI
480 pkglib_LTLIBRARIES += ipmi.la
481 ipmi_la_SOURCES = ipmi.c
482 ipmi_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_OPENIPMI_CFLAGS)
483 ipmi_la_LDFLAGS = -module -avoid-version
484 ipmi_la_LIBADD = $(BUILD_WITH_OPENIPMI_LIBS)
485 collectd_LDADD += "-dlopen" ipmi.la
486 collectd_DEPENDENCIES += ipmi.la
487 endif
488
489 if BUILD_PLUGIN_IPVS
490 pkglib_LTLIBRARIES += ipvs.la
491 ipvs_la_SOURCES = ipvs.c
492 if IP_VS_H_NEEDS_KERNEL_CFLAGS
493 ipvs_la_CFLAGS = $(AM_CFLAGS) $(KERNEL_CFLAGS)
494 endif
495 ipvs_la_LDFLAGS = -module -avoid-version
496 collectd_LDADD += "-dlopen" ipvs.la
497 collectd_DEPENDENCIES += ipvs.la
498 endif
499
500 if BUILD_PLUGIN_IRQ
501 pkglib_LTLIBRARIES += irq.la
502 irq_la_SOURCES = irq.c
503 irq_la_LDFLAGS = -module -avoid-version
504 collectd_LDADD += "-dlopen" irq.la
505 collectd_DEPENDENCIES += irq.la
506 endif
507
508 if BUILD_PLUGIN_JAVA
509 pkglib_LTLIBRARIES += java.la
510 java_la_SOURCES = java.c
511 java_la_CPPFLAGS = $(AM_CPPFLAGS) $(JAVA_CPPFLAGS)
512 java_la_CFLAGS = $(AM_CFLAGS) $(JAVA_CFLAGS)
513 java_la_LDFLAGS = -module -avoid-version $(JAVA_LDFLAGS)
514 java_la_LIBADD = $(JAVA_LIBS)
515 collectd_LDADD += "-dlopen" java.la
516 collectd_DEPENDENCIES += java.la
517 endif
518
519 if BUILD_PLUGIN_LIBVIRT
520 pkglib_LTLIBRARIES += libvirt.la
521 libvirt_la_SOURCES = libvirt.c
522 libvirt_la_CFLAGS = $(AM_CFLAGS) \
523                 $(BUILD_WITH_LIBVIRT_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
524 libvirt_la_LIBADD = $(BUILD_WITH_LIBVIRT_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
525 libvirt_la_LDFLAGS = -module -avoid-version
526 collectd_LDADD += "-dlopen" libvirt.la
527 collectd_DEPENDENCIES += libvirt.la
528 endif
529
530 if BUILD_PLUGIN_LOAD
531 pkglib_LTLIBRARIES += load.la
532 load_la_SOURCES = load.c
533 load_la_CFLAGS = $(AM_CFLAGS)
534 load_la_LDFLAGS = -module -avoid-version
535 load_la_LIBADD =
536 collectd_LDADD += "-dlopen" load.la
537 collectd_DEPENDENCIES += load.la
538 if BUILD_WITH_LIBSTATGRAB
539 load_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
540 load_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
541 endif # BUILD_WITH_LIBSTATGRAB
542 if BUILD_WITH_PERFSTAT
543 load_la_LIBADD += -lperfstat
544 endif
545 endif # BUILD_PLUGIN_LOAD
546
547 if BUILD_PLUGIN_LOGFILE
548 pkglib_LTLIBRARIES += logfile.la
549 logfile_la_SOURCES = logfile.c
550 logfile_la_LDFLAGS = -module -avoid-version
551 collectd_LDADD += "-dlopen" logfile.la
552 collectd_DEPENDENCIES += logfile.la
553 endif
554
555 if BUILD_PLUGIN_LPAR
556 pkglib_LTLIBRARIES += lpar.la
557 lpar_la_SOURCES = lpar.c
558 lpar_la_LDFLAGS = -module -avoid-version
559 collectd_LDADD += "-dlopen" lpar.la
560 collectd_DEPENDENCIES += lpar.la
561 lpar_la_LIBADD = -lperfstat
562 endif
563
564 if BUILD_PLUGIN_MADWIFI
565 pkglib_LTLIBRARIES += madwifi.la
566 madwifi_la_SOURCES = madwifi.c madwifi.h
567 madwifi_la_LDFLAGS = -module -avoid-version
568 collectd_LDADD += "-dlopen" madwifi.la
569 collectd_DEPENDENCIES += madwifi.la
570 endif
571
572 if BUILD_PLUGIN_MATCH_EMPTY_COUNTER
573 pkglib_LTLIBRARIES += match_empty_counter.la
574 match_empty_counter_la_SOURCES = match_empty_counter.c
575 match_empty_counter_la_LDFLAGS = -module -avoid-version
576 collectd_LDADD += "-dlopen" match_empty_counter.la
577 collectd_DEPENDENCIES += match_empty_counter.la
578 endif
579
580 if BUILD_PLUGIN_MATCH_HASHED
581 pkglib_LTLIBRARIES += match_hashed.la
582 match_hashed_la_SOURCES = match_hashed.c
583 match_hashed_la_LDFLAGS = -module -avoid-version
584 collectd_LDADD += "-dlopen" match_hashed.la
585 collectd_DEPENDENCIES += match_hashed.la
586 endif
587
588 if BUILD_PLUGIN_MATCH_REGEX
589 pkglib_LTLIBRARIES += match_regex.la
590 match_regex_la_SOURCES = match_regex.c
591 match_regex_la_LDFLAGS = -module -avoid-version
592 collectd_LDADD += "-dlopen" match_regex.la
593 collectd_DEPENDENCIES += match_regex.la
594 endif
595
596 if BUILD_PLUGIN_MATCH_TIMEDIFF
597 pkglib_LTLIBRARIES += match_timediff.la
598 match_timediff_la_SOURCES = match_timediff.c
599 match_timediff_la_LDFLAGS = -module -avoid-version
600 collectd_LDADD += "-dlopen" match_timediff.la
601 collectd_DEPENDENCIES += match_timediff.la
602 endif
603
604 if BUILD_PLUGIN_MATCH_VALUE
605 pkglib_LTLIBRARIES += match_value.la
606 match_value_la_SOURCES = match_value.c
607 match_value_la_LDFLAGS = -module -avoid-version
608 collectd_LDADD += "-dlopen" match_value.la
609 collectd_DEPENDENCIES += match_value.la
610 endif
611
612 if BUILD_PLUGIN_MBMON
613 pkglib_LTLIBRARIES += mbmon.la
614 mbmon_la_SOURCES = mbmon.c
615 mbmon_la_LDFLAGS = -module -avoid-version
616 mbmon_la_LIBADD =
617 if BUILD_WITH_LIBSOCKET
618 mbmon_la_LIBADD += -lsocket
619 endif
620 collectd_LDADD += "-dlopen" mbmon.la
621 collectd_DEPENDENCIES += mbmon.la
622 endif
623
624 if BUILD_PLUGIN_MD
625 pkglib_LTLIBRARIES += md.la
626 md_la_SOURCES = md.c
627 md_la_LDFLAGS = -module -avoid-version
628 collectd_LDADD += "-dlopen" md.la
629 collectd_DEPENDENCIES += md.la
630 endif
631
632 if BUILD_PLUGIN_MEMCACHEC
633 pkglib_LTLIBRARIES += memcachec.la
634 memcachec_la_SOURCES = memcachec.c
635 memcachec_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBMEMCACHED_LDFLAGS)
636 memcachec_la_CPPFLAGS = $(BUILD_WITH_LIBMEMCACHED_CPPFLAGS)
637 memcachec_la_LIBADD = $(BUILD_WITH_LIBMEMCACHED_LIBS)
638 collectd_LDADD += "-dlopen" memcachec.la
639 collectd_DEPENDENCIES += memcachec.la
640 endif
641
642 if BUILD_PLUGIN_MEMCACHED
643 pkglib_LTLIBRARIES += memcached.la
644 memcached_la_SOURCES = memcached.c
645 memcached_la_LDFLAGS = -module -avoid-version
646 memcached_la_LIBADD =
647 if BUILD_WITH_LIBSOCKET
648 memcached_la_LIBADD += -lsocket
649 endif
650 collectd_LDADD += "-dlopen" memcached.la
651 collectd_DEPENDENCIES += memcached.la
652 endif
653
654 if BUILD_PLUGIN_MEMORY
655 pkglib_LTLIBRARIES += memory.la
656 memory_la_SOURCES = memory.c
657 memory_la_CFLAGS = $(AM_CFLAGS)
658 memory_la_LDFLAGS = -module -avoid-version
659 memory_la_LIBADD =
660 collectd_LDADD += "-dlopen" memory.la
661 collectd_DEPENDENCIES += memory.la
662 if BUILD_WITH_LIBKSTAT
663 memory_la_LIBADD += -lkstat
664 endif
665 if BUILD_WITH_LIBDEVINFO
666 memory_la_LIBADD += -ldevinfo
667 endif
668 if BUILD_WITH_LIBSTATGRAB
669 memory_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
670 memory_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
671 endif
672 if BUILD_WITH_PERFSTAT
673 memory_la_LIBADD += -lperfstat
674 endif
675 endif
676
677 if BUILD_PLUGIN_MODBUS
678 pkglib_LTLIBRARIES += modbus.la
679 modbus_la_SOURCES = modbus.c
680 modbus_la_LDFLAGS = -module -avoid-version
681 modbus_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBMODBUS_CFLAGS)
682 modbus_la_LIBADD = $(BUILD_WITH_LIBMODBUS_LIBS)
683 collectd_LDADD += "-dlopen" modbus.la
684 collectd_DEPENDENCIES += modbus.la
685 endif
686
687 if BUILD_PLUGIN_MULTIMETER
688 pkglib_LTLIBRARIES += multimeter.la
689 multimeter_la_SOURCES = multimeter.c
690 multimeter_la_LDFLAGS = -module -avoid-version
691 collectd_LDADD += "-dlopen" multimeter.la
692 collectd_DEPENDENCIES += multimeter.la
693 endif
694
695 if BUILD_PLUGIN_MYSQL
696 pkglib_LTLIBRARIES += mysql.la
697 mysql_la_SOURCES = mysql.c
698 mysql_la_LDFLAGS = -module -avoid-version
699 mysql_la_CFLAGS = $(AM_CFLAGS)
700 mysql_la_LIBADD =
701 collectd_LDADD += "-dlopen" mysql.la
702 if BUILD_WITH_LIBMYSQL
703 mysql_la_CFLAGS += $(BUILD_WITH_LIBMYSQL_CFLAGS)
704 mysql_la_LIBADD += $(BUILD_WITH_LIBMYSQL_LIBS)
705 endif
706 collectd_DEPENDENCIES += mysql.la
707 endif
708
709 if BUILD_PLUGIN_NETAPP
710 pkglib_LTLIBRARIES += netapp.la
711 netapp_la_SOURCES = netapp.c
712 netapp_la_CPPFLAGS = $(AM_CPPFLAGS) $(LIBNETAPP_CPPFLAGS)
713 netapp_la_LDFLAGS = -module -avoid-version $(LIBNETAPP_LDFLAGS)
714 netapp_la_LIBADD = $(LIBNETAPP_LIBS)
715 collectd_LDADD += "-dlopen" netapp.la
716 collectd_DEPENDENCIES += netapp.la
717 endif
718
719 if BUILD_PLUGIN_NETLINK
720 pkglib_LTLIBRARIES += netlink.la
721 netlink_la_SOURCES = netlink.c
722 netlink_la_LDFLAGS = -module -avoid-version
723 netlink_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBNETLINK_CFLAGS)
724 netlink_la_LIBADD = $(BUILD_WITH_LIBNETLINK_LIBS)
725 collectd_LDADD += "-dlopen" netlink.la
726 collectd_DEPENDENCIES += netlink.la
727 endif
728
729 if BUILD_PLUGIN_NETWORK
730 pkglib_LTLIBRARIES += network.la
731 network_la_SOURCES = network.c network.h \
732                      utils_fbhash.c utils_fbhash.h
733 network_la_CPPFLAGS = $(AM_CPPFLAGS)
734 network_la_LDFLAGS = -module -avoid-version
735 network_la_LIBADD = -lpthread
736 if BUILD_WITH_LIBSOCKET
737 network_la_LIBADD += -lsocket
738 endif
739 if BUILD_WITH_LIBGCRYPT
740 network_la_CPPFLAGS += $(GCRYPT_CPPFLAGS)
741 network_la_LDFLAGS += $(GCRYPT_LDFLAGS)
742 network_la_LIBADD += $(GCRYPT_LIBS)
743 endif
744 collectd_LDADD += "-dlopen" network.la
745 collectd_DEPENDENCIES += network.la
746 endif
747
748 if BUILD_PLUGIN_NFS
749 pkglib_LTLIBRARIES += nfs.la
750 nfs_la_SOURCES = nfs.c
751 nfs_la_LDFLAGS = -module -avoid-version
752 collectd_LDADD += "-dlopen" nfs.la
753 collectd_DEPENDENCIES += nfs.la
754 endif
755
756 if BUILD_PLUGIN_FSCACHE
757 pkglib_LTLIBRARIES += fscache.la
758 fscache_la_SOURCES = fscache.c
759 fscache_la_LDFLAGS = -module -avoid-version
760 collectd_LDADD += "-dlopen" fscache.la
761 collectd_DEPENDENCIES += fscache.la
762 endif
763
764 if BUILD_PLUGIN_NGINX
765 pkglib_LTLIBRARIES += nginx.la
766 nginx_la_SOURCES = nginx.c
767 nginx_la_CFLAGS = $(AM_CFLAGS)
768 nginx_la_LIBADD =
769 nginx_la_LDFLAGS = -module -avoid-version
770 if BUILD_WITH_LIBCURL
771 nginx_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
772 nginx_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
773 endif
774 collectd_LDADD += "-dlopen" nginx.la
775 collectd_DEPENDENCIES += nginx.la
776 endif
777
778 if BUILD_PLUGIN_NOTIFY_DESKTOP
779 pkglib_LTLIBRARIES += notify_desktop.la
780 notify_desktop_la_SOURCES = notify_desktop.c
781 notify_desktop_la_CFLAGS = $(AM_CFLAGS) $(LIBNOTIFY_CFLAGS)
782 notify_desktop_la_LDFLAGS = -module -avoid-version
783 notify_desktop_la_LIBADD = $(LIBNOTIFY_LIBS)
784 collectd_LDADD += "-dlopen" notify_desktop.la
785 collectd_DEPENDENCIES += notify_desktop.la
786 endif
787
788 if BUILD_PLUGIN_NOTIFY_EMAIL
789 pkglib_LTLIBRARIES += notify_email.la
790 notify_email_la_SOURCES = notify_email.c
791 notify_email_la_LDFLAGS = -module -avoid-version
792 notify_email_la_LIBADD = -lesmtp -lssl -lcrypto -lpthread -ldl
793 collectd_LDADD += "-dlopen" notify_email.la
794 collectd_DEPENDENCIES += notify_email.la
795 endif
796
797 if BUILD_PLUGIN_NTPD
798 pkglib_LTLIBRARIES += ntpd.la
799 ntpd_la_SOURCES = ntpd.c
800 ntpd_la_LDFLAGS = -module -avoid-version
801 ntpd_la_LIBADD =
802 if BUILD_WITH_LIBSOCKET
803 ntpd_la_LIBADD += -lsocket
804 endif
805 collectd_LDADD += "-dlopen" ntpd.la
806 collectd_DEPENDENCIES += ntpd.la
807 endif
808
809 if BUILD_PLUGIN_NUMA
810 pkglib_LTLIBRARIES += numa.la
811 numa_la_SOURCES = numa.c
812 numa_la_LDFLAGS = -module -avoid-version
813 collectd_LDADD += "-dlopen" numa.la
814 collectd_DEPENDENCIES += numa.la
815 endif
816
817 if BUILD_PLUGIN_NUT
818 pkglib_LTLIBRARIES += nut.la
819 nut_la_SOURCES = nut.c
820 nut_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBUPSCLIENT_CFLAGS)
821 nut_la_LDFLAGS = -module -avoid-version
822 nut_la_LIBADD = -lpthread $(BUILD_WITH_LIBUPSCLIENT_LIBS)
823 collectd_LDADD += "-dlopen" nut.la
824 collectd_DEPENDENCIES += nut.la
825 endif
826
827 if BUILD_PLUGIN_OLSRD
828 pkglib_LTLIBRARIES += olsrd.la
829 olsrd_la_SOURCES = olsrd.c
830 olsrd_la_LDFLAGS = -module -avoid-version
831 olsrd_la_LIBADD = 
832 if BUILD_WITH_LIBSOCKET
833 olsrd_la_LIBADD += -lsocket
834 endif
835 collectd_LDADD += "-dlopen" olsrd.la
836 collectd_DEPENDENCIES += olsrd.la
837 endif
838
839 if BUILD_PLUGIN_ONEWIRE
840 pkglib_LTLIBRARIES += onewire.la
841 onewire_la_SOURCES = onewire.c
842 onewire_la_CFLAGS = $(AM_CFLAGS)
843 onewire_la_CPPFLAGS = $(BUILD_WITH_LIBOWCAPI_CPPFLAGS)
844 onewire_la_LIBADD = $(BUILD_WITH_LIBOWCAPI_LIBS)
845 onewire_la_LDFLAGS = -module -avoid-version
846 collectd_LDADD += "-dlopen" onewire.la
847 collectd_DEPENDENCIES += onewire.la
848 endif
849
850 if BUILD_PLUGIN_OPENVPN
851 pkglib_LTLIBRARIES += openvpn.la
852 openvpn_la_SOURCES = openvpn.c
853 openvpn_la_CFLAGS = $(AM_CFLAGS)
854 openvpn_la_LDFLAGS = -module -avoid-version
855 collectd_LDADD += "-dlopen" openvpn.la
856 collectd_DEPENDENCIES += openvpn.la
857 endif
858
859 if BUILD_PLUGIN_ORACLE
860 pkglib_LTLIBRARIES += oracle.la
861 oracle_la_SOURCES = oracle.c \
862         utils_db_query.c utils_db_query.h
863 oracle_la_CFLAGS = $(AM_CFLAGS)
864 oracle_la_CPPFLAGS = $(BUILD_WITH_ORACLE_CFLAGS)
865 oracle_la_LIBADD = $(BUILD_WITH_ORACLE_LIBS)
866 oracle_la_LDFLAGS = -module -avoid-version
867 collectd_LDADD += "-dlopen" oracle.la
868 collectd_DEPENDENCIES += oracle.la
869 endif
870
871 if BUILD_PLUGIN_PERL
872 pkglib_LTLIBRARIES += perl.la
873 perl_la_SOURCES = perl.c
874 # Despite C99 providing the "bool" type thru stdbool.h, Perl defines its own
875 # version of that type if HAS_BOOL is not defined... *sigh*
876 perl_la_CPPFLAGS = $(AM_CPPFLAGS) -DHAS_BOOL=1
877 perl_la_CFLAGS  = $(AM_CFLAGS) \
878                 $(PERL_CFLAGS) \
879                 -DXS_VERSION=\"$(VERSION)\" -DVERSION=\"$(VERSION)\"
880 # Work-around for issues #41 and #42 - Perl 5.10 incorrectly introduced
881 # __attribute__nonnull__(3) for Perl_load_module().
882 if HAVE_BROKEN_PERL_LOAD_MODULE
883 perl_la_CFLAGS += -Wno-nonnull
884 endif
885 perl_la_LDFLAGS = -module -avoid-version \
886                 $(PERL_LDFLAGS)
887 collectd_LDADD += "-dlopen" perl.la
888 collectd_DEPENDENCIES += perl.la
889 endif
890
891 if BUILD_PLUGIN_PINBA
892 BUILT_SOURCES += pinba.pb-c.c pinba.pb-c.h
893 CLEANFILES += pinba.pb-c.c pinba.pb-c.h
894 pkglib_LTLIBRARIES += pinba.la
895 pinba_la_SOURCES = pinba.c
896 pinba_la_LDFLAGS = -module -avoid-version
897 pinba_la_LIBADD = -lprotobuf-c
898 collectd_LDADD += "-dlopen" pinba.la
899 collectd_DEPENDENCIES += pinba.la
900 endif
901
902 if BUILD_PLUGIN_PING
903 pkglib_LTLIBRARIES += ping.la
904 ping_la_SOURCES = ping.c
905 ping_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBOPING_CPPFLAGS)
906 ping_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBOPING_LDFLAGS)
907 ping_la_LIBADD = -loping -lm
908 collectd_LDADD += "-dlopen" ping.la
909 collectd_DEPENDENCIES += ping.la
910 endif
911
912 if BUILD_PLUGIN_POSTGRESQL
913 pkglib_LTLIBRARIES += postgresql.la
914 postgresql_la_SOURCES = postgresql.c \
915                  utils_db_query.c utils_db_query.h
916 postgresql_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBPQ_CPPFLAGS)
917 postgresql_la_LDFLAGS = -module -avoid-version \
918                 $(BUILD_WITH_LIBPQ_LDFLAGS)
919 postgresql_la_LIBADD = -lpq
920 collectd_LDADD += "-dlopen" postgresql.la
921 collectd_DEPENDENCIES += postgresql.la
922 endif
923
924 if BUILD_PLUGIN_POWERDNS
925 pkglib_LTLIBRARIES += powerdns.la
926 powerdns_la_SOURCES = powerdns.c
927 powerdns_la_LDFLAGS = -module -avoid-version
928 collectd_LDADD += "-dlopen" powerdns.la
929 collectd_DEPENDENCIES += powerdns.la
930 endif
931
932 if BUILD_PLUGIN_PYTHON
933 pkglib_LTLIBRARIES += python.la
934 python_la_SOURCES = python.c pyconfig.c pyvalues.c cpython.h
935 python_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_PYTHON_CPPFLAGS)
936 python_la_CFLAGS = $(AM_CFLAGS)
937 if COMPILER_IS_GCC
938 python_la_CFLAGS += -fno-strict-aliasing -Wno-strict-aliasing
939 endif
940 python_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_PYTHON_LDFLAGS)
941 python_la_LIBADD = $(BUILD_WITH_PYTHON_LIBS)
942 collectd_LDADD += "-dlopen" python.la
943 collectd_DEPENDENCIES += python.la
944 endif
945
946 if BUILD_PLUGIN_PROCESSES
947 pkglib_LTLIBRARIES += processes.la
948 processes_la_SOURCES = processes.c
949 processes_la_LDFLAGS = -module -avoid-version
950 processes_la_LIBADD =
951 collectd_LDADD += "-dlopen" processes.la
952 collectd_DEPENDENCIES += processes.la
953 if BUILD_WITH_LIBKVM_GETPROCS
954 processes_la_LIBADD += -lkvm
955 endif
956 endif
957
958 if BUILD_PLUGIN_PROTOCOLS
959 pkglib_LTLIBRARIES += protocols.la
960 protocols_la_SOURCES = protocols.c
961 protocols_la_LDFLAGS = -module -avoid-version
962 collectd_LDADD += "-dlopen" protocols.la
963 collectd_DEPENDENCIES += protocols.la
964 endif
965
966 if BUILD_PLUGIN_REDIS
967 pkglib_LTLIBRARIES += redis.la
968 redis_la_SOURCES = redis.c
969 redis_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBCREDIS_LDFLAGS)
970 redis_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBCREDIS_CPPFLAGS)
971 redis_la_LIBADD = -lcredis
972 collectd_LDADD += "-dlopen" redis.la
973 collectd_DEPENDENCIES += redis.la
974 endif
975
976 if BUILD_PLUGIN_ROUTEROS
977 pkglib_LTLIBRARIES += routeros.la
978 routeros_la_SOURCES = routeros.c
979 routeros_la_CPPFLAGS = $(BUILD_WITH_LIBROUTEROS_CPPFLAGS)
980 routeros_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBROUTEROS_LDFLAGS)
981 routeros_la_LIBADD = -lrouteros
982 collectd_LDADD += "-dlopen" routeros.la
983 collectd_DEPENDENCIES += routeros.la
984 endif
985
986 if BUILD_PLUGIN_RRDCACHED
987 pkglib_LTLIBRARIES += rrdcached.la
988 rrdcached_la_SOURCES = rrdcached.c utils_rrdcreate.c utils_rrdcreate.h
989 rrdcached_la_LDFLAGS = -module -avoid-version
990 rrdcached_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBRRD_CFLAGS)
991 rrdcached_la_LIBADD = $(BUILD_WITH_LIBRRD_LDFLAGS)
992 collectd_LDADD += "-dlopen" rrdcached.la
993 collectd_DEPENDENCIES += rrdcached.la
994 endif
995
996 if BUILD_PLUGIN_RRDTOOL
997 pkglib_LTLIBRARIES += rrdtool.la
998 rrdtool_la_SOURCES = rrdtool.c utils_rrdcreate.c utils_rrdcreate.h
999 rrdtool_la_LDFLAGS = -module -avoid-version
1000 rrdtool_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBRRD_CFLAGS)
1001 rrdtool_la_LIBADD = $(BUILD_WITH_LIBRRD_LDFLAGS)
1002 collectd_LDADD += "-dlopen" rrdtool.la
1003 collectd_DEPENDENCIES += rrdtool.la
1004 endif
1005
1006 if BUILD_PLUGIN_SENSORS
1007 pkglib_LTLIBRARIES += sensors.la
1008 sensors_la_SOURCES = sensors.c
1009 sensors_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBSENSORS_CFLAGS)
1010 sensors_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBSENSORS_LDFLAGS)
1011 sensors_la_LIBADD = -lsensors
1012 collectd_LDADD += "-dlopen" sensors.la
1013 collectd_DEPENDENCIES += sensors.la
1014 endif
1015
1016 if BUILD_PLUGIN_SERIAL
1017 pkglib_LTLIBRARIES += serial.la
1018 serial_la_SOURCES = serial.c
1019 serial_la_LDFLAGS = -module -avoid-version
1020 collectd_LDADD += "-dlopen" serial.la
1021 collectd_DEPENDENCIES += serial.la
1022 endif
1023
1024 if BUILD_PLUGIN_SNMP
1025 pkglib_LTLIBRARIES += snmp.la
1026 snmp_la_SOURCES = snmp.c
1027 snmp_la_LDFLAGS = -module -avoid-version
1028 snmp_la_CFLAGS = $(AM_CFLAGS)
1029 snmp_la_LIBADD =
1030 if BUILD_WITH_LIBNETSNMP
1031 snmp_la_CFLAGS += $(BUILD_WITH_LIBSNMP_CFLAGS)
1032 snmp_la_LIBADD += $(BUILD_WITH_LIBSNMP_LIBS)
1033 endif
1034 if BUILD_WITH_LIBPTHREAD
1035 snmp_la_LIBADD += -lpthread
1036 endif
1037 collectd_LDADD += "-dlopen" snmp.la
1038 collectd_DEPENDENCIES += snmp.la
1039 endif
1040
1041 if BUILD_PLUGIN_SWAP
1042 pkglib_LTLIBRARIES += swap.la
1043 swap_la_SOURCES = swap.c
1044 swap_la_CFLAGS = $(AM_CFLAGS)
1045 swap_la_LDFLAGS = -module -avoid-version
1046 swap_la_LIBADD =
1047 collectd_LDADD += "-dlopen" swap.la
1048 collectd_DEPENDENCIES += swap.la
1049 if BUILD_WITH_LIBKSTAT
1050 swap_la_LIBADD += -lkstat
1051 endif
1052 if BUILD_WITH_LIBDEVINFO
1053 swap_la_LIBADD += -ldevinfo
1054 endif
1055 if BUILD_WITH_LIBKVM_GETSWAPINFO
1056 swap_la_LIBADD += -lkvm
1057 endif
1058 if BUILD_WITH_LIBSTATGRAB
1059 swap_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
1060 swap_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
1061 endif
1062 if BUILD_WITH_PERFSTAT
1063 swap_la_LIBADD += -lperfstat
1064 endif
1065
1066 endif
1067
1068 if BUILD_PLUGIN_SYSLOG
1069 pkglib_LTLIBRARIES += syslog.la
1070 syslog_la_SOURCES = syslog.c
1071 syslog_la_LDFLAGS = -module -avoid-version
1072 collectd_LDADD += "-dlopen" syslog.la
1073 collectd_DEPENDENCIES += syslog.la
1074 endif
1075
1076 if BUILD_PLUGIN_TABLE
1077 pkglib_LTLIBRARIES += table.la
1078 table_la_SOURCES = table.c
1079 table_la_LDFLAGS = -module -avoid-version
1080 collectd_LDADD += "-dlopen" table.la
1081 collectd_DEPENDENCIES += table.la
1082 endif
1083
1084 if BUILD_PLUGIN_TAIL
1085 pkglib_LTLIBRARIES += tail.la
1086 tail_la_SOURCES = tail.c
1087 tail_la_LDFLAGS = -module -avoid-version
1088 collectd_LDADD += "-dlopen" tail.la
1089 collectd_DEPENDENCIES += tail.la
1090 endif
1091
1092 if BUILD_PLUGIN_TAPE
1093 pkglib_LTLIBRARIES += tape.la
1094 tape_la_SOURCES = tape.c
1095 tape_la_LDFLAGS = -module -avoid-version
1096 tape_la_LIBADD = -lkstat -ldevinfo
1097 collectd_LDADD += "-dlopen" tape.la
1098 collectd_DEPENDENCIES += tape.la
1099 endif
1100
1101 if BUILD_PLUGIN_TARGET_NOTIFICATION
1102 pkglib_LTLIBRARIES += target_notification.la
1103 target_notification_la_SOURCES = target_notification.c
1104 target_notification_la_LDFLAGS = -module -avoid-version
1105 collectd_LDADD += "-dlopen" target_notification.la
1106 collectd_DEPENDENCIES += target_notification.la
1107 endif
1108
1109 if BUILD_PLUGIN_TARGET_REPLACE
1110 pkglib_LTLIBRARIES += target_replace.la
1111 target_replace_la_SOURCES = target_replace.c
1112 target_replace_la_LDFLAGS = -module -avoid-version
1113 collectd_LDADD += "-dlopen" target_replace.la
1114 collectd_DEPENDENCIES += target_replace.la
1115 endif
1116
1117 if BUILD_PLUGIN_TARGET_SCALE
1118 pkglib_LTLIBRARIES += target_scale.la
1119 target_scale_la_SOURCES = target_scale.c
1120 target_scale_la_LDFLAGS = -module -avoid-version
1121 collectd_LDADD += "-dlopen" target_scale.la
1122 collectd_DEPENDENCIES += target_scale.la
1123 endif
1124
1125 if BUILD_PLUGIN_TARGET_SET
1126 pkglib_LTLIBRARIES += target_set.la
1127 target_set_la_SOURCES = target_set.c
1128 target_set_la_LDFLAGS = -module -avoid-version
1129 collectd_LDADD += "-dlopen" target_set.la
1130 collectd_DEPENDENCIES += target_set.la
1131 endif
1132
1133 if BUILD_PLUGIN_TARGET_V5UPGRADE
1134 pkglib_LTLIBRARIES += target_v5upgrade.la
1135 target_v5upgrade_la_SOURCES = target_v5upgrade.c
1136 target_v5upgrade_la_LDFLAGS = -module -avoid-version
1137 collectd_LDADD += "-dlopen" target_v5upgrade.la
1138 collectd_DEPENDENCIES += target_v5upgrade.la
1139 endif
1140
1141 if BUILD_PLUGIN_TCPCONNS
1142 pkglib_LTLIBRARIES += tcpconns.la
1143 tcpconns_la_SOURCES = tcpconns.c
1144 tcpconns_la_LDFLAGS = -module -avoid-version
1145 tcpconns_la_LIBADD =
1146 collectd_LDADD += "-dlopen" tcpconns.la
1147 collectd_DEPENDENCIES += tcpconns.la
1148 if BUILD_WITH_LIBKVM_NLIST
1149 tcpconns_la_LIBADD += -lkvm
1150 endif
1151 endif
1152
1153 if BUILD_PLUGIN_TEAMSPEAK2
1154 pkglib_LTLIBRARIES += teamspeak2.la
1155 teamspeak2_la_SOURCES = teamspeak2.c
1156 teamspeak2_la_LDFLAGS = -module -avoid-version
1157 collectd_LDADD += "-dlopen" teamspeak2.la
1158 collectd_DEPENDENCIES += teamspeak2.la
1159 endif
1160
1161 if BUILD_PLUGIN_TED
1162 pkglib_LTLIBRARIES += ted.la
1163 ted_la_SOURCES = ted.c
1164 ted_la_LDFLAGS = -module -avoid-version
1165 collectd_LDADD += "-dlopen" ted.la
1166 collectd_DEPENDENCIES += ted.la
1167 endif
1168
1169 if BUILD_PLUGIN_THERMAL
1170 pkglib_LTLIBRARIES += thermal.la
1171 thermal_la_SOURCES = thermal.c
1172 thermal_la_LDFLAGS = -module -avoid-version
1173 collectd_LDADD += "-dlopen" thermal.la
1174 collectd_DEPENDENCIES += thermal.la
1175 endif
1176
1177 if BUILD_PLUGIN_THRESHOLD
1178 pkglib_LTLIBRARIES += threshold.la
1179 threshold_la_SOURCES = threshold.c
1180 threshold_la_LDFLAGS = -module -avoid-version
1181 collectd_LDADD += "-dlopen" threshold.la
1182 collectd_DEPENDENCIES += threshold.la
1183 endif
1184
1185 if BUILD_PLUGIN_TOKYOTYRANT
1186 pkglib_LTLIBRARIES += tokyotyrant.la
1187 tokyotyrant_la_SOURCES = tokyotyrant.c
1188 tokyotyrant_la_CPPFLAGS  = $(AM_CPPFLAGS) $(BUILD_WITH_LIBTOKYOTYRANT_CPPFLAGS)
1189 tokyotyrant_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBTOKYOTYRANT_LDFLAGS)
1190 tokyotyrant_la_LIBADD  = $(BUILD_WITH_LIBTOKYOTYRANT_LIBS)
1191 if BUILD_WITH_LIBSOCKET
1192 tokyotyrant_la_LIBADD += -lsocket
1193 endif
1194 collectd_LDADD += "-dlopen" tokyotyrant.la
1195 collectd_DEPENDENCIES += tokyotyrant.la
1196 endif
1197
1198 if BUILD_PLUGIN_UNIXSOCK
1199 pkglib_LTLIBRARIES += unixsock.la
1200 unixsock_la_SOURCES = unixsock.c \
1201                       utils_cmd_flush.h utils_cmd_flush.c \
1202                       utils_cmd_getval.h utils_cmd_getval.c \
1203                       utils_cmd_listval.h utils_cmd_listval.c \
1204                       utils_cmd_putval.h utils_cmd_putval.c \
1205                       utils_cmd_putnotif.h utils_cmd_putnotif.c
1206 unixsock_la_LDFLAGS = -module -avoid-version
1207 unixsock_la_LIBADD = -lpthread
1208 collectd_LDADD += "-dlopen" unixsock.la
1209 collectd_DEPENDENCIES += unixsock.la
1210 endif
1211
1212 if BUILD_PLUGIN_UPTIME
1213 pkglib_LTLIBRARIES += uptime.la
1214 uptime_la_SOURCES = uptime.c
1215 uptime_la_CFLAGS = $(AM_CFLAGS)
1216 uptime_la_LDFLAGS = -module -avoid-version
1217 uptime_la_LIBADD =
1218 if BUILD_WITH_LIBKSTAT
1219 uptime_la_LIBADD += -lkstat
1220 endif
1221 if BUILD_WITH_PERFSTAT
1222 uptime_la_LIBADD += -lperfstat
1223 endif
1224 collectd_LDADD += "-dlopen" uptime.la
1225 collectd_DEPENDENCIES += uptime.la
1226 endif
1227
1228 if BUILD_PLUGIN_USERS
1229 pkglib_LTLIBRARIES += users.la
1230 users_la_SOURCES = users.c
1231 users_la_CFLAGS = $(AM_CFLAGS)
1232 users_la_LDFLAGS = -module -avoid-version
1233 users_la_LIBADD =
1234 if BUILD_WITH_LIBSTATGRAB
1235 users_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
1236 users_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
1237 endif
1238 collectd_LDADD += "-dlopen" users.la
1239 collectd_DEPENDENCIES += users.la
1240 endif
1241
1242 if BUILD_PLUGIN_UUID
1243 pkglib_LTLIBRARIES += uuid.la
1244 uuid_la_SOURCES = uuid.c
1245 uuid_la_CFLAGS  = $(AM_CFLAGS) $(BUILD_WITH_LIBHAL_CFLAGS)
1246 uuid_la_LIBADD  = $(BUILD_WITH_LIBHAL_LIBS)
1247 uuid_la_LDFLAGS = -module -avoid-version
1248 collectd_LDADD += "-dlopen" uuid.la
1249 collectd_DEPENDENCIES += uuid.la
1250 endif
1251
1252 if BUILD_PLUGIN_VARNISH
1253 pkglib_LTLIBRARIES += varnish.la
1254 varnish_la_SOURCES = varnish.c
1255 varnish_la_LDFLAGS = -module -avoid-version
1256 varnish_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBVARNISH_CFLAGS)
1257 varnish_la_LIBADD = $(BUILD_WITH_LIBVARNISH_LIBS)
1258 collectd_LDADD += "-dlopen" varnish.la
1259 collectd_DEPENDENCIES += varnish.la
1260 endif
1261
1262 if BUILD_PLUGIN_VMEM
1263 pkglib_LTLIBRARIES += vmem.la
1264 vmem_la_SOURCES = vmem.c
1265 vmem_la_LDFLAGS = -module -avoid-version
1266 collectd_LDADD += "-dlopen" vmem.la
1267 collectd_DEPENDENCIES += vmem.la
1268 endif
1269
1270 if BUILD_PLUGIN_VSERVER
1271 pkglib_LTLIBRARIES += vserver.la
1272 vserver_la_SOURCES = vserver.c
1273 vserver_la_LDFLAGS = -module -avoid-version
1274 collectd_LDADD += "-dlopen" vserver.la
1275 collectd_DEPENDENCIES += vserver.la
1276 endif
1277
1278 if BUILD_PLUGIN_WIRELESS
1279 pkglib_LTLIBRARIES += wireless.la
1280 wireless_la_SOURCES = wireless.c
1281 wireless_la_LDFLAGS = -module -avoid-version
1282 collectd_LDADD += "-dlopen" wireless.la
1283 collectd_DEPENDENCIES += wireless.la
1284 endif
1285
1286 if BUILD_PLUGIN_WRITE_GRAPHITE
1287 pkglib_LTLIBRARIES += write_graphite.la
1288 write_graphite_la_SOURCES = write_graphite.c \
1289                         utils_format_graphite.c utils_format_graphite.h \
1290                         utils_format_json.c utils_format_json.h
1291 write_graphite_la_LDFLAGS = -module -avoid-version
1292 collectd_LDADD += "-dlopen" write_graphite.la
1293 collectd_DEPENDENCIES += write_graphite.la
1294 endif
1295
1296 if BUILD_PLUGIN_WRITE_HTTP
1297 pkglib_LTLIBRARIES += write_http.la
1298 write_http_la_SOURCES = write_http.c \
1299                         utils_format_json.c utils_format_json.h
1300 write_http_la_LDFLAGS = -module -avoid-version
1301 write_http_la_CFLAGS = $(AM_CFLAGS)
1302 write_http_la_LIBADD =
1303 collectd_LDADD += "-dlopen" write_http.la
1304 if BUILD_WITH_LIBCURL
1305 write_http_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
1306 write_http_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
1307 endif
1308 collectd_DEPENDENCIES += write_http.la
1309 endif
1310
1311 if BUILD_PLUGIN_WRITE_MONGODB
1312 pkglib_LTLIBRARIES += write_mongodb.la
1313 write_mongodb_la_SOURCES = write_mongodb.c
1314 write_mongodb_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBMONGOC_CPPFLAGS)
1315 write_mongodb_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBMONGOC_LDFLAGS)
1316 write_mongodb_la_LIBADD = -lmongoc
1317 collectd_LDADD += "-dlopen" write_mongodb.la
1318 collectd_DEPENDENCIES += write_mongodb.la
1319 endif
1320
1321 if BUILD_PLUGIN_WRITE_REDIS
1322 pkglib_LTLIBRARIES += write_redis.la
1323 write_redis_la_SOURCES = write_redis.c
1324 write_redis_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBCREDIS_LDFLAGS)
1325 write_redis_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBCREDIS_CPPFLAGS)
1326 write_redis_la_LIBADD = -lcredis
1327 collectd_LDADD += "-dlopen" write_redis.la
1328 collectd_DEPENDENCIES += write_redis.la
1329 endif
1330
1331 if BUILD_PLUGIN_XMMS
1332 pkglib_LTLIBRARIES += xmms.la
1333 xmms_la_SOURCES = xmms.c
1334 xmms_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBXMMS_CFLAGS)
1335 xmms_la_LDFLAGS = -module -avoid-version
1336 xmms_la_LIBADD = $(BUILD_WITH_LIBXMMS_LIBS)
1337 collectd_LDADD += "-dlopen" xmms.la
1338 collectd_DEPENDENCIES += xmms.la
1339 endif
1340
1341 if BUILD_PLUGIN_ZFS_ARC
1342 pkglib_LTLIBRARIES += zfs_arc.la
1343 zfs_arc_la_SOURCES = zfs_arc.c
1344 zfs_arc_la_CFLAGS = $(AM_CFLAGS)
1345 zfs_arc_la_LDFLAGS = -module -avoid-version
1346 zfs_arc_la_LIBADD = -lkstat
1347 collectd_LDADD += "-dlopen" zfs_arc.la
1348 collectd_DEPENDENCIES += zfs_arc.la
1349 endif
1350
1351 BUILT_SOURCES += $(dist_man_MANS)
1352
1353 dist_man_MANS = collectd.1 \
1354                 collectd.conf.5 \
1355                 collectd-email.5 \
1356                 collectd-exec.5 \
1357                 collectdctl.1 \
1358                 collectd-java.5 \
1359                 collectdmon.1 \
1360                 collectd-nagios.1 \
1361                 collectd-perl.5 \
1362                 collectd-python.5 \
1363                 collectd-snmp.5 \
1364                 collectd-threshold.5 \
1365                 collectd-unixsock.5 \
1366                 types.db.5
1367
1368 #collectd_1_SOURCES = collectd.pod
1369
1370 EXTRA_DIST = types.db pinba.proto
1371
1372 EXTRA_DIST +=   collectd.conf.pod \
1373                 collectd-email.pod \
1374                 collectd-exec.pod \
1375                 collectdctl.pod \
1376                 collectd-java.pod \
1377                 collectdmon.pod \
1378                 collectd-nagios.pod \
1379                 collectd-perl.pod \
1380                 collectd-python.pod \
1381                 collectd.pod \
1382                 collectd-snmp.pod \
1383                 collectd-threshold.pod \
1384                 collectd-unixsock.pod \
1385                 postgresql_default.conf \
1386                 types.db.pod
1387
1388 .pod.1:
1389         pod2man --release=$(VERSION) --center=$(PACKAGE) $< \
1390                 >.pod2man.tmp.$$$$ 2>/dev/null && mv -f .pod2man.tmp.$$$$ $@ || true
1391         @if grep '\<POD ERRORS\>' $@ >/dev/null 2>&1; \
1392         then \
1393                 echo "$@ has some POD errors!"; false; \
1394         fi
1395
1396 .pod.5:
1397         pod2man --section=5 --release=$(VERSION) --center=$(PACKAGE) $< \
1398                 >.pod2man.tmp.$$$$ 2>/dev/null && mv -f .pod2man.tmp.$$$$ $@ || true
1399         @if grep '\<POD ERRORS\>' $@ >/dev/null 2>&1; \
1400         then \
1401                 echo "$@ has some POD errors!"; false; \
1402         fi
1403
1404 pinba.pb-c.c pinba.pb-c.h: pinba.proto
1405         protoc-c --c_out . pinba.proto
1406
1407 install-exec-hook:
1408         $(mkinstalldirs) $(DESTDIR)$(sysconfdir)
1409         if test -e $(DESTDIR)$(sysconfdir)/collectd.conf; \
1410         then \
1411                 $(INSTALL) -m 0640 collectd.conf $(DESTDIR)$(sysconfdir)/collectd.conf.pkg-orig; \
1412         else \
1413                 $(INSTALL) -m 0640 collectd.conf $(DESTDIR)$(sysconfdir)/collectd.conf; \
1414         fi; \
1415         $(mkinstalldirs) $(DESTDIR)$(pkgdatadir)
1416         $(INSTALL) -m 0644 $(srcdir)/types.db $(DESTDIR)$(pkgdatadir)/types.db;
1417         $(INSTALL) -m 0644 $(srcdir)/postgresql_default.conf \
1418                 $(DESTDIR)$(pkgdatadir)/postgresql_default.conf;
1419
1420 uninstall-hook:
1421         rm -f $(DESTDIR)$(pkgdatadir)/types.db;
1422         rm -f $(DESTDIR)$(sysconfdir)/collectd.conf
1423         rm -f $(DESTDIR)$(pkgdatadir)/postgresql_default.conf;
1424
1425 if BUILD_FEATURE_DEBUG
1426 bin_PROGRAMS += utils_vl_lookup_test
1427 utils_vl_lookup_test_SOURCES = utils_vl_lookup_test.c \
1428                                utils_vl_lookup.h utils_vl_lookup.c \
1429                                utils_avltree.c utils_avltree.h \
1430                                common.h
1431
1432 utils_vl_lookup_test_CPPFLAGS =  $(AM_CPPFLAGS) $(LTDLINCL) -DBUILD_TEST=1
1433 utils_vl_lookup_test_CFLAGS = $(AM_CFLAGS)
1434 utils_vl_lookup_test_LDFLAGS = -export-dynamic
1435 utils_vl_lookup_test_LDADD =
1436 endif