notify_email: avoid linking against libdl
[collectd.git] / src / Makefile.am
1 SUBDIRS = libcollectdclient
2 if BUILD_WITH_OWN_LIBIPTC
3 SUBDIRS += owniptc
4 endif
5 if BUILD_WITH_OWN_LIBOCONFIG
6 SUBDIRS += liboconfig
7 endif
8
9 if COMPILER_IS_GCC
10 AM_CFLAGS = -Wall -Werror
11 endif
12
13 AM_CPPFLAGS = -DPREFIX='"${prefix}"'
14 AM_CPPFLAGS += -DCONFIGFILE='"${sysconfdir}/${PACKAGE_NAME}.conf"'
15 AM_CPPFLAGS += -DLOCALSTATEDIR='"${localstatedir}"'
16 AM_CPPFLAGS += -DPKGLOCALSTATEDIR='"${localstatedir}/lib/${PACKAGE_NAME}"'
17 if BUILD_FEATURE_DAEMON
18 AM_CPPFLAGS += -DPIDFILE='"${localstatedir}/run/${PACKAGE_NAME}.pid"'
19 endif
20 AM_CPPFLAGS += -DPLUGINDIR='"${pkglibdir}"'
21 AM_CPPFLAGS += -DPKGDATADIR='"${pkgdatadir}"'
22
23 sbin_PROGRAMS = collectd collectdmon
24 bin_PROGRAMS = collectd-nagios
25
26 collectd_SOURCES = collectd.c collectd.h \
27                    common.c common.h \
28                    configfile.c configfile.h \
29                    filter_chain.c filter_chain.h \
30                    meta_data.c meta_data.h \
31                    plugin.c plugin.h \
32                    utils_avltree.c utils_avltree.h \
33                    utils_cache.c utils_cache.h \
34                    utils_complain.c utils_complain.h \
35                    utils_heap.c utils_heap.h \
36                    utils_ignorelist.c utils_ignorelist.h \
37                    utils_llist.c utils_llist.h \
38                    utils_parse_option.c utils_parse_option.h \
39                    utils_tail_match.c utils_tail_match.h \
40                    utils_match.c utils_match.h \
41                    utils_subst.c utils_subst.h \
42                    utils_tail.c utils_tail.h \
43                    utils_threshold.c utils_threshold.h \
44                    types_list.c types_list.h
45
46 collectd_CPPFLAGS =  $(AM_CPPFLAGS) $(LTDLINCL)
47 collectd_CFLAGS = $(AM_CFLAGS)
48 collectd_LDFLAGS = -export-dynamic
49 collectd_LDADD = -lm
50 collectd_DEPENDENCIES =
51
52 # Link to these libraries..
53 if BUILD_WITH_LIBRT
54 collectd_LDADD += -lrt
55 endif
56 if BUILD_WITH_LIBPOSIX4
57 collectd_LDADD += -lposix4
58 endif
59 if BUILD_WITH_LIBSOCKET
60 collectd_LDADD += -lsocket
61 endif
62 if BUILD_WITH_LIBRESOLV
63 collectd_LDADD += -lresolv
64 endif
65 if BUILD_WITH_LIBPTHREAD
66 collectd_LDADD += -lpthread
67 endif
68 if BUILD_WITH_LIBKSTAT
69 collectd_LDADD += -lkstat
70 endif
71 if BUILD_WITH_LIBDEVINFO
72 collectd_LDADD += -ldevinfo
73 endif
74 if BUILD_AIX
75 collectd_LDFLAGS += -Wl,-bexpall,-brtllib
76 endif
77
78 # The daemon needs to call sg_init, so we need to link it against libstatgrab,
79 # too. -octo
80 if BUILD_WITH_LIBSTATGRAB
81 collectd_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
82 collectd_LDADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
83 endif
84
85 if BUILD_WITH_OWN_LIBOCONFIG
86 collectd_LDADD += $(LIBLTDL) liboconfig/liboconfig.la
87 collectd_DEPENDENCIES += liboconfig/liboconfig.la
88 else
89 collectd_LDADD += -loconfig
90 endif
91
92 collectdmon_SOURCES = collectdmon.c
93 collectdmon_CPPFLAGS = $(AM_CPPFLAGS)
94
95 collectd_nagios_SOURCES = collectd-nagios.c
96 collectd_nagios_LDADD =
97 if BUILD_WITH_LIBSOCKET
98 collectd_nagios_LDADD += -lsocket
99 endif
100 if BUILD_AIX
101 collectd_nagios_LDADD += -lm
102 endif
103
104 collectd_nagios_LDADD += libcollectdclient/libcollectdclient.la
105 collectd_nagios_DEPENDENCIES = libcollectdclient/libcollectdclient.la
106
107 pkglib_LTLIBRARIES = 
108
109 BUILT_SOURCES = 
110 CLEANFILES = 
111
112 if BUILD_PLUGIN_APACHE
113 pkglib_LTLIBRARIES += apache.la
114 apache_la_SOURCES = apache.c
115 apache_la_LDFLAGS = -module -avoid-version
116 apache_la_CFLAGS = $(AM_CFLAGS)
117 apache_la_LIBADD =
118 collectd_LDADD += "-dlopen" apache.la
119 if BUILD_WITH_LIBCURL
120 apache_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
121 apache_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
122 endif
123 collectd_DEPENDENCIES += apache.la
124 endif
125
126 if BUILD_PLUGIN_APCUPS
127 pkglib_LTLIBRARIES += apcups.la
128 apcups_la_SOURCES = apcups.c
129 apcups_la_LDFLAGS = -module -avoid-version
130 apcups_la_LIBADD =
131 if BUILD_WITH_LIBSOCKET
132 apcups_la_LIBADD += -lsocket
133 endif
134 collectd_LDADD += "-dlopen" apcups.la
135 collectd_DEPENDENCIES += apcups.la
136 endif
137
138 if BUILD_PLUGIN_APPLE_SENSORS
139 pkglib_LTLIBRARIES += apple_sensors.la
140 apple_sensors_la_SOURCES = apple_sensors.c
141 apple_sensors_la_LDFLAGS = -module -avoid-version
142 apple_sensors_la_LIBADD = -lIOKit
143 collectd_LDADD += "-dlopen" apple_sensors.la
144 collectd_DEPENDENCIES += apple_sensors.la
145 endif
146
147 if BUILD_PLUGIN_ASCENT
148 pkglib_LTLIBRARIES += ascent.la
149 ascent_la_SOURCES = ascent.c
150 ascent_la_LDFLAGS = -module -avoid-version
151 ascent_la_CFLAGS = $(AM_CFLAGS) \
152                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
153 ascent_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
154 collectd_LDADD += "-dlopen" ascent.la
155 collectd_DEPENDENCIES += ascent.la
156 endif
157
158 if BUILD_PLUGIN_BATTERY
159 pkglib_LTLIBRARIES += battery.la
160 battery_la_SOURCES = battery.c
161 battery_la_LDFLAGS = -module -avoid-version
162 battery_la_LIBADD =
163 if BUILD_WITH_LIBIOKIT
164 battery_la_LIBADD += -lIOKit
165 endif
166 collectd_LDADD += "-dlopen" battery.la
167 collectd_DEPENDENCIES += battery.la
168 endif
169
170 if BUILD_PLUGIN_BIND
171 pkglib_LTLIBRARIES += bind.la
172 bind_la_SOURCES = bind.c
173 bind_la_LDFLAGS = -module -avoid-version
174 bind_la_CFLAGS = $(AM_CFLAGS) \
175                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
176 bind_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
177 collectd_LDADD += "-dlopen" bind.la
178 collectd_DEPENDENCIES += bind.la
179 endif
180
181 if BUILD_PLUGIN_CONNTRACK
182 pkglib_LTLIBRARIES += conntrack.la
183 conntrack_la_SOURCES = conntrack.c
184 conntrack_la_LDFLAGS = -module -avoid-version
185 collectd_LDADD += "-dlopen" conntrack.la
186 collectd_DEPENDENCIES += conntrack.la
187 endif
188
189 if BUILD_PLUGIN_CONTEXTSWITCH
190 pkglib_LTLIBRARIES += contextswitch.la
191 contextswitch_la_SOURCES = contextswitch.c
192 contextswitch_la_LDFLAGS = -module -avoid-version
193 collectd_LDADD += "-dlopen" contextswitch.la
194 collectd_DEPENDENCIES += contextswitch.la
195 endif
196
197 if BUILD_PLUGIN_CPU
198 pkglib_LTLIBRARIES += cpu.la
199 cpu_la_SOURCES = cpu.c
200 cpu_la_CFLAGS = $(AM_CFLAGS)
201 cpu_la_LDFLAGS = -module -avoid-version
202 cpu_la_LIBADD = 
203 if BUILD_WITH_LIBKSTAT
204 cpu_la_LIBADD += -lkstat
205 endif
206 if BUILD_WITH_LIBDEVINFO
207 cpu_la_LIBADD += -ldevinfo
208 endif
209 if BUILD_WITH_LIBSTATGRAB
210 cpu_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
211 cpu_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
212 endif
213 if BUILD_WITH_PERFSTAT
214 cpu_la_LIBADD += -lperfstat
215 endif
216 collectd_LDADD += "-dlopen" cpu.la
217 collectd_DEPENDENCIES += cpu.la
218 endif
219
220 if BUILD_PLUGIN_CPUFREQ
221 pkglib_LTLIBRARIES += cpufreq.la
222 cpufreq_la_SOURCES = cpufreq.c
223 cpufreq_la_LDFLAGS = -module -avoid-version
224 collectd_LDADD += "-dlopen" cpufreq.la
225 collectd_DEPENDENCIES += cpufreq.la
226 endif
227
228 if BUILD_PLUGIN_CSV
229 pkglib_LTLIBRARIES += csv.la
230 csv_la_SOURCES = csv.c
231 csv_la_LDFLAGS = -module -avoid-version
232 collectd_LDADD += "-dlopen" csv.la
233 collectd_DEPENDENCIES += csv.la
234 endif
235
236 if BUILD_PLUGIN_CURL
237 pkglib_LTLIBRARIES += curl.la
238 curl_la_SOURCES = curl.c
239 curl_la_LDFLAGS = -module -avoid-version
240 curl_la_CFLAGS = $(AM_CFLAGS)
241 curl_la_LIBADD =
242 collectd_LDADD += "-dlopen" curl.la
243 if BUILD_WITH_LIBCURL
244 curl_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
245 curl_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
246 endif
247 collectd_DEPENDENCIES += curl.la
248 endif
249
250 if BUILD_PLUGIN_CURL_JSON
251 pkglib_LTLIBRARIES += curl_json.la
252 curl_json_la_SOURCES = curl_json.c
253 curl_json_la_CFLAGS = $(AM_CFLAGS)
254 curl_json_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBYAJL_LDFLAGS)
255 curl_json_la_CPPFLAGS = $(BUILD_WITH_LIBYAJL_CPPFLAGS)
256 curl_json_la_LIBADD = $(BUILD_WITH_LIBYAJL_LIBS)
257 if BUILD_WITH_LIBCURL
258 curl_json_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
259 curl_json_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
260 endif
261 collectd_LDADD += "-dlopen" curl_json.la
262 collectd_DEPENDENCIES += curl_json.la
263 endif
264
265 if BUILD_PLUGIN_CURL_XML
266 pkglib_LTLIBRARIES += curl_xml.la
267 curl_xml_la_SOURCES = curl_xml.c
268 curl_xml_la_LDFLAGS = -module -avoid-version
269 curl_xml_la_CFLAGS = $(AM_CFLAGS) \
270                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
271 curl_xml_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
272 collectd_LDADD += "-dlopen" curl_xml.la
273 collectd_DEPENDENCIES += curl_xml.la
274 endif
275
276 if BUILD_PLUGIN_DBI
277 pkglib_LTLIBRARIES += dbi.la
278 dbi_la_SOURCES = dbi.c \
279                  utils_db_query.c utils_db_query.h
280 dbi_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBDBI_CPPFLAGS)
281 dbi_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBDBI_LDFLAGS)
282 dbi_la_LIBADD = $(BUILD_WITH_LIBDBI_LIBS)
283 collectd_LDADD += "-dlopen" dbi.la
284 collectd_DEPENDENCIES += dbi.la
285 endif
286
287 if BUILD_PLUGIN_DF
288 pkglib_LTLIBRARIES += df.la
289 df_la_SOURCES = df.c utils_mount.c utils_mount.h
290 df_la_LDFLAGS = -module -avoid-version
291 collectd_LDADD += "-dlopen" df.la
292 collectd_DEPENDENCIES += df.la
293 endif
294
295 if BUILD_PLUGIN_DISK
296 pkglib_LTLIBRARIES += disk.la
297 disk_la_SOURCES = disk.c
298 disk_la_CFLAGS = $(AM_CFLAGS)
299 disk_la_LDFLAGS = -module -avoid-version
300 disk_la_LIBADD = 
301 if BUILD_WITH_LIBKSTAT
302 disk_la_LIBADD += -lkstat
303 endif
304 if BUILD_WITH_LIBDEVINFO
305 disk_la_LIBADD += -ldevinfo
306 endif
307 if BUILD_WITH_LIBIOKIT
308 disk_la_LIBADD += -lIOKit
309 endif
310 if BUILD_WITH_LIBSTATGRAB
311 disk_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)  
312 disk_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
313 endif
314 if BUILD_WITH_PERFSTAT
315 disk_la_LIBADD += -lperfstat
316 endif
317 collectd_LDADD += "-dlopen" disk.la
318 collectd_DEPENDENCIES += disk.la
319 endif
320
321 if BUILD_PLUGIN_DNS
322 pkglib_LTLIBRARIES += dns.la
323 dns_la_SOURCES = dns.c utils_dns.c utils_dns.h
324 dns_la_LDFLAGS = -module -avoid-version
325 dns_la_LIBADD = -lpcap -lpthread
326 collectd_LDADD += "-dlopen" dns.la
327 collectd_DEPENDENCIES += dns.la
328 endif
329
330 if BUILD_PLUGIN_EMAIL
331 pkglib_LTLIBRARIES += email.la
332 email_la_SOURCES = email.c
333 email_la_LDFLAGS = -module -avoid-version
334 email_la_LIBADD = -lpthread
335 collectd_LDADD += "-dlopen" email.la
336 collectd_DEPENDENCIES += email.la
337 endif
338
339 if BUILD_PLUGIN_ENTROPY
340 pkglib_LTLIBRARIES += entropy.la
341 entropy_la_SOURCES = entropy.c
342 entropy_la_LDFLAGS = -module -avoid-version
343 collectd_LDADD += "-dlopen" entropy.la
344 collectd_DEPENDENCIES += entropy.la
345 endif
346
347 if BUILD_PLUGIN_EXEC
348 pkglib_LTLIBRARIES += exec.la
349 exec_la_SOURCES = exec.c \
350                   utils_cmd_putnotif.c utils_cmd_putnotif.h \
351                   utils_cmd_putval.c utils_cmd_putval.h
352 exec_la_LDFLAGS = -module -avoid-version
353 exec_la_LIBADD = -lpthread
354 collectd_LDADD += "-dlopen" exec.la
355 collectd_DEPENDENCIES += exec.la
356 endif
357
358 if BUILD_PLUGIN_FILECOUNT
359 pkglib_LTLIBRARIES += filecount.la
360 filecount_la_SOURCES = filecount.c
361 filecount_la_LDFLAGS = -module -avoid-version
362 collectd_LDADD += "-dlopen" filecount.la
363 collectd_DEPENDENCIES += filecount.la
364 endif
365
366 if BUILD_PLUGIN_GMOND
367 pkglib_LTLIBRARIES += gmond.la
368 gmond_la_SOURCES = gmond.c
369 gmond_la_CPPFLAGS = $(AM_CPPFLAGS) $(GANGLIA_CPPFLAGS)
370 gmond_la_LDFLAGS = -module -avoid-version $(GANGLIA_LDFLAGS)
371 gmond_la_LIBADD = $(GANGLIA_LIBS)
372 collectd_LDADD += "-dlopen" gmond.la
373 collectd_DEPENDENCIES += gmond.la
374 endif
375
376 if BUILD_PLUGIN_HDDTEMP
377 pkglib_LTLIBRARIES += hddtemp.la
378 hddtemp_la_SOURCES = hddtemp.c
379 hddtemp_la_LDFLAGS = -module -avoid-version
380 hddtemp_la_LIBADD =
381 if BUILD_WITH_LIBSOCKET
382 hddtemp_la_LIBADD += -lsocket
383 endif
384 collectd_LDADD += "-dlopen" hddtemp.la
385 collectd_DEPENDENCIES += hddtemp.la
386 endif
387
388 if BUILD_PLUGIN_INTERFACE
389 pkglib_LTLIBRARIES += interface.la
390 interface_la_SOURCES = interface.c
391 interface_la_CFLAGS = $(AM_CFLAGS)
392 interface_la_LDFLAGS = -module -avoid-version
393 interface_la_LIBADD =
394 collectd_LDADD += "-dlopen" interface.la
395 collectd_DEPENDENCIES += interface.la
396 if BUILD_WITH_LIBSTATGRAB
397 interface_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
398 interface_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
399 else
400 if BUILD_WITH_LIBKSTAT
401 interface_la_LIBADD += -lkstat
402 endif
403 if BUILD_WITH_LIBDEVINFO
404 interface_la_LIBADD += -ldevinfo
405 endif # BUILD_WITH_LIBDEVINFO
406 endif # !BUILD_WITH_LIBSTATGRAB
407 if BUILD_WITH_PERFSTAT
408 interface_la_LIBADD += -lperfstat
409 endif
410 endif # BUILD_PLUGIN_INTERFACE
411
412 if BUILD_PLUGIN_IPTABLES
413 pkglib_LTLIBRARIES += iptables.la
414 iptables_la_SOURCES = iptables.c
415 iptables_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBIPTC_CPPFLAGS)
416 iptables_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBIPTC_LDFLAGS)
417 if BUILD_WITH_OWN_LIBIPTC
418 iptables_la_LIBADD  = owniptc/libiptc.la
419 iptables_la_DEPENDENCIES = owniptc/libiptc.la
420 else
421 iptables_la_LIBADD = -liptc
422 endif
423 collectd_LDADD += "-dlopen" iptables.la
424 collectd_DEPENDENCIES += iptables.la
425 endif
426
427 if BUILD_PLUGIN_IPMI
428 pkglib_LTLIBRARIES += ipmi.la
429 ipmi_la_SOURCES = ipmi.c
430 ipmi_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_OPENIPMI_CFLAGS)
431 ipmi_la_LDFLAGS = -module -avoid-version
432 ipmi_la_LIBADD = $(BUILD_WITH_OPENIPMI_LIBS)
433 collectd_LDADD += "-dlopen" ipmi.la
434 collectd_DEPENDENCIES += ipmi.la
435 endif
436
437 if BUILD_PLUGIN_IPVS
438 pkglib_LTLIBRARIES += ipvs.la
439 ipvs_la_SOURCES = ipvs.c
440 if IP_VS_H_NEEDS_KERNEL_CFLAGS
441 ipvs_la_CFLAGS = $(AM_CFLAGS) $(KERNEL_CFLAGS)
442 endif
443 ipvs_la_LDFLAGS = -module -avoid-version
444 collectd_LDADD += "-dlopen" ipvs.la
445 collectd_DEPENDENCIES += ipvs.la
446 endif
447
448 if BUILD_PLUGIN_IRQ
449 pkglib_LTLIBRARIES += irq.la
450 irq_la_SOURCES = irq.c
451 irq_la_LDFLAGS = -module -avoid-version
452 collectd_LDADD += "-dlopen" irq.la
453 collectd_DEPENDENCIES += irq.la
454 endif
455
456 if BUILD_PLUGIN_JAVA
457 pkglib_LTLIBRARIES += java.la
458 java_la_SOURCES = java.c
459 java_la_CPPFLAGS = $(AM_CPPFLAGS) $(JAVA_CPPFLAGS)
460 java_la_CFLAGS = $(AM_CFLAGS) $(JAVA_CFLAGS)
461 java_la_LDFLAGS = -module -avoid-version $(JAVA_LDFLAGS)
462 java_la_LIBADD = $(JAVA_LIBS)
463 collectd_LDADD += "-dlopen" java.la
464 collectd_DEPENDENCIES += java.la
465 endif
466
467 if BUILD_PLUGIN_LIBVIRT
468 pkglib_LTLIBRARIES += libvirt.la
469 libvirt_la_SOURCES = libvirt.c
470 libvirt_la_CFLAGS = $(AM_CFLAGS) \
471                 $(BUILD_WITH_LIBVIRT_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
472 libvirt_la_LIBADD = $(BUILD_WITH_LIBVIRT_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
473 libvirt_la_LDFLAGS = -module -avoid-version
474 collectd_LDADD += "-dlopen" libvirt.la
475 collectd_DEPENDENCIES += libvirt.la
476 endif
477
478 if BUILD_PLUGIN_LOAD
479 pkglib_LTLIBRARIES += load.la
480 load_la_SOURCES = load.c
481 load_la_CFLAGS = $(AM_CFLAGS)
482 load_la_LDFLAGS = -module -avoid-version
483 load_la_LIBADD =
484 collectd_LDADD += "-dlopen" load.la
485 collectd_DEPENDENCIES += load.la
486 if BUILD_WITH_LIBSTATGRAB
487 load_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
488 load_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
489 endif # BUILD_WITH_LIBSTATGRAB
490 if BUILD_WITH_PERFSTAT
491 load_la_LIBADD += -lperfstat
492 endif
493 endif # BUILD_PLUGIN_LOAD
494
495 if BUILD_PLUGIN_LOGFILE
496 pkglib_LTLIBRARIES += logfile.la
497 logfile_la_SOURCES = logfile.c
498 logfile_la_LDFLAGS = -module -avoid-version
499 collectd_LDADD += "-dlopen" logfile.la
500 collectd_DEPENDENCIES += logfile.la
501 endif
502
503 if BUILD_PLUGIN_MADWIFI
504 pkglib_LTLIBRARIES += madwifi.la
505 madwifi_la_SOURCES = madwifi.c madwifi.h
506 madwifi_la_LDFLAGS = -module -avoid-version
507 collectd_LDADD += "-dlopen" madwifi.la
508 collectd_DEPENDENCIES += madwifi.la
509 endif
510
511 if BUILD_PLUGIN_MATCH_EMPTY_COUNTER
512 pkglib_LTLIBRARIES += match_empty_counter.la
513 match_empty_counter_la_SOURCES = match_empty_counter.c
514 match_empty_counter_la_LDFLAGS = -module -avoid-version
515 collectd_LDADD += "-dlopen" match_empty_counter.la
516 collectd_DEPENDENCIES += match_empty_counter.la
517 endif
518
519 if BUILD_PLUGIN_MATCH_HASHED
520 pkglib_LTLIBRARIES += match_hashed.la
521 match_hashed_la_SOURCES = match_hashed.c
522 match_hashed_la_LDFLAGS = -module -avoid-version
523 collectd_LDADD += "-dlopen" match_hashed.la
524 collectd_DEPENDENCIES += match_hashed.la
525 endif
526
527 if BUILD_PLUGIN_MATCH_REGEX
528 pkglib_LTLIBRARIES += match_regex.la
529 match_regex_la_SOURCES = match_regex.c
530 match_regex_la_LDFLAGS = -module -avoid-version
531 collectd_LDADD += "-dlopen" match_regex.la
532 collectd_DEPENDENCIES += match_regex.la
533 endif
534
535 if BUILD_PLUGIN_MATCH_TIMEDIFF
536 pkglib_LTLIBRARIES += match_timediff.la
537 match_timediff_la_SOURCES = match_timediff.c
538 match_timediff_la_LDFLAGS = -module -avoid-version
539 collectd_LDADD += "-dlopen" match_timediff.la
540 collectd_DEPENDENCIES += match_timediff.la
541 endif
542
543 if BUILD_PLUGIN_MATCH_VALUE
544 pkglib_LTLIBRARIES += match_value.la
545 match_value_la_SOURCES = match_value.c
546 match_value_la_LDFLAGS = -module -avoid-version
547 collectd_LDADD += "-dlopen" match_value.la
548 collectd_DEPENDENCIES += match_value.la
549 endif
550
551 if BUILD_PLUGIN_MBMON
552 pkglib_LTLIBRARIES += mbmon.la
553 mbmon_la_SOURCES = mbmon.c
554 mbmon_la_LDFLAGS = -module -avoid-version
555 mbmon_la_LIBADD =
556 if BUILD_WITH_LIBSOCKET
557 mbmon_la_LIBADD += -lsocket
558 endif
559 collectd_LDADD += "-dlopen" mbmon.la
560 collectd_DEPENDENCIES += mbmon.la
561 endif
562
563 if BUILD_PLUGIN_MEMCACHEC
564 pkglib_LTLIBRARIES += memcachec.la
565 memcachec_la_SOURCES = memcachec.c
566 memcachec_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBMEMCACHED_LDFLAGS)
567 memcachec_la_CPPFLAGS = $(BUILD_WITH_LIBMEMCACHED_CPPFLAGS)
568 memcachec_la_LIBADD = $(BUILD_WITH_LIBMEMCACHED_LIBS)
569 collectd_LDADD += "-dlopen" memcachec.la
570 collectd_DEPENDENCIES += memcachec.la
571 endif
572
573 if BUILD_PLUGIN_MEMCACHED
574 pkglib_LTLIBRARIES += memcached.la
575 memcached_la_SOURCES = memcached.c
576 memcached_la_LDFLAGS = -module -avoid-version
577 memcached_la_LIBADD =
578 if BUILD_WITH_LIBSOCKET
579 memcached_la_LIBADD += -lsocket
580 endif
581 collectd_LDADD += "-dlopen" memcached.la
582 collectd_DEPENDENCIES += memcached.la
583 endif
584
585 if BUILD_PLUGIN_MEMORY
586 pkglib_LTLIBRARIES += memory.la
587 memory_la_SOURCES = memory.c
588 memory_la_CFLAGS = $(AM_CFLAGS)
589 memory_la_LDFLAGS = -module -avoid-version
590 memory_la_LIBADD =
591 collectd_LDADD += "-dlopen" memory.la
592 collectd_DEPENDENCIES += memory.la
593 if BUILD_WITH_LIBKSTAT
594 memory_la_LIBADD += -lkstat
595 endif
596 if BUILD_WITH_LIBDEVINFO
597 memory_la_LIBADD += -ldevinfo
598 endif
599 if BUILD_WITH_LIBSTATGRAB
600 memory_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
601 memory_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
602 endif
603 if BUILD_WITH_PERFSTAT
604 memory_la_LIBADD += -lperfstat
605 endif
606 endif
607
608 if BUILD_PLUGIN_MODBUS
609 pkglib_LTLIBRARIES += modbus.la
610 modbus_la_SOURCES = modbus.c
611 modbus_la_LDFLAGS = -module -avoid-version
612 modbus_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBMODBUS_CFLAGS)
613 modbus_la_LIBADD = $(BUILD_WITH_LIBMODBUS_LIBS)
614 collectd_LDADD += "-dlopen" modbus.la
615 collectd_DEPENDENCIES += modbus.la
616 endif
617
618 if BUILD_PLUGIN_MULTIMETER
619 pkglib_LTLIBRARIES += multimeter.la
620 multimeter_la_SOURCES = multimeter.c
621 multimeter_la_LDFLAGS = -module -avoid-version
622 collectd_LDADD += "-dlopen" multimeter.la
623 collectd_DEPENDENCIES += multimeter.la
624 endif
625
626 if BUILD_PLUGIN_MYSQL
627 pkglib_LTLIBRARIES += mysql.la
628 mysql_la_SOURCES = mysql.c
629 mysql_la_LDFLAGS = -module -avoid-version
630 mysql_la_CFLAGS = $(AM_CFLAGS)
631 mysql_la_LIBADD =
632 collectd_LDADD += "-dlopen" mysql.la
633 if BUILD_WITH_LIBMYSQL
634 mysql_la_CFLAGS += $(BUILD_WITH_LIBMYSQL_CFLAGS)
635 mysql_la_LIBADD += $(BUILD_WITH_LIBMYSQL_LIBS)
636 endif
637 collectd_DEPENDENCIES += mysql.la
638 endif
639
640 if BUILD_PLUGIN_NETAPP
641 pkglib_LTLIBRARIES += netapp.la
642 netapp_la_SOURCES = netapp.c
643 netapp_la_CPPFLAGS = $(AM_CPPFLAGS) $(LIBNETAPP_CPPFLAGS)
644 netapp_la_LDFLAGS = -module -avoid-version $(LIBNETAPP_LDFLAGS)
645 netapp_la_LIBADD = $(LIBNETAPP_LIBS)
646 collectd_LDADD += "-dlopen" netapp.la
647 collectd_DEPENDENCIES += netapp.la
648 endif
649
650 if BUILD_PLUGIN_NETLINK
651 pkglib_LTLIBRARIES += netlink.la
652 netlink_la_SOURCES = netlink.c
653 netlink_la_LDFLAGS = -module -avoid-version
654 netlink_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBNETLINK_CFLAGS)
655 netlink_la_LIBADD = $(BUILD_WITH_LIBNETLINK_LIBS)
656 collectd_LDADD += "-dlopen" netlink.la
657 collectd_DEPENDENCIES += netlink.la
658 endif
659
660 if BUILD_PLUGIN_NETWORK
661 pkglib_LTLIBRARIES += network.la
662 network_la_SOURCES = network.c network.h \
663                      utils_fbhash.c utils_fbhash.h
664 network_la_CPPFLAGS = $(AM_CPPFLAGS)
665 network_la_LDFLAGS = -module -avoid-version
666 network_la_LIBADD = -lpthread
667 if BUILD_WITH_LIBSOCKET
668 network_la_LIBADD += -lsocket
669 endif
670 if BUILD_WITH_LIBGCRYPT
671 network_la_CPPFLAGS += $(GCRYPT_CPPFLAGS)
672 network_la_LDFLAGS += $(GCRYPT_LDFLAGS)
673 network_la_LIBADD += $(GCRYPT_LIBS)
674 endif
675 collectd_LDADD += "-dlopen" network.la
676 collectd_DEPENDENCIES += network.la
677 endif
678
679 if BUILD_PLUGIN_NFS
680 pkglib_LTLIBRARIES += nfs.la
681 nfs_la_SOURCES = nfs.c
682 nfs_la_LDFLAGS = -module -avoid-version
683 collectd_LDADD += "-dlopen" nfs.la
684 collectd_DEPENDENCIES += nfs.la
685 endif
686
687 if BUILD_PLUGIN_FSCACHE
688 pkglib_LTLIBRARIES += fscache.la
689 fscache_la_SOURCES = fscache.c
690 fscache_la_LDFLAGS = -module -avoid-version
691 collectd_LDADD += "-dlopen" fscache.la
692 collectd_DEPENDENCIES += fscache.la
693 endif
694
695 if BUILD_PLUGIN_NGINX
696 pkglib_LTLIBRARIES += nginx.la
697 nginx_la_SOURCES = nginx.c
698 nginx_la_CFLAGS = $(AM_CFLAGS)
699 nginx_la_LIBADD =
700 nginx_la_LDFLAGS = -module -avoid-version
701 if BUILD_WITH_LIBCURL
702 nginx_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
703 nginx_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
704 endif
705 collectd_LDADD += "-dlopen" nginx.la
706 collectd_DEPENDENCIES += nginx.la
707 endif
708
709 if BUILD_PLUGIN_NOTIFY_DESKTOP
710 pkglib_LTLIBRARIES += notify_desktop.la
711 notify_desktop_la_SOURCES = notify_desktop.c
712 notify_desktop_la_CFLAGS = $(AM_CFLAGS) $(LIBNOTIFY_CFLAGS)
713 notify_desktop_la_LDFLAGS = -module -avoid-version
714 notify_desktop_la_LIBADD = $(LIBNOTIFY_LIBS)
715 collectd_LDADD += "-dlopen" notify_desktop.la
716 collectd_DEPENDENCIES += notify_desktop.la
717 endif
718
719 if BUILD_PLUGIN_NOTIFY_EMAIL
720 pkglib_LTLIBRARIES += notify_email.la
721 notify_email_la_SOURCES = notify_email.c
722 notify_email_la_LDFLAGS = -module -avoid-version
723 notify_email_la_LIBADD = -lesmtp -lssl -lcrypto -lpthread
724 collectd_LDADD += "-dlopen" notify_email.la
725 collectd_DEPENDENCIES += notify_email.la
726 endif
727
728 if BUILD_PLUGIN_NTPD
729 pkglib_LTLIBRARIES += ntpd.la
730 ntpd_la_SOURCES = ntpd.c
731 ntpd_la_LDFLAGS = -module -avoid-version
732 ntpd_la_LIBADD =
733 if BUILD_WITH_LIBSOCKET
734 ntpd_la_LIBADD += -lsocket
735 endif
736 collectd_LDADD += "-dlopen" ntpd.la
737 collectd_DEPENDENCIES += ntpd.la
738 endif
739
740 if BUILD_PLUGIN_NUT
741 pkglib_LTLIBRARIES += nut.la
742 nut_la_SOURCES = nut.c
743 nut_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBUPSCLIENT_CFLAGS)
744 nut_la_LDFLAGS = -module -avoid-version
745 nut_la_LIBADD = -lpthread $(BUILD_WITH_LIBUPSCLIENT_LIBS)
746 collectd_LDADD += "-dlopen" nut.la
747 collectd_DEPENDENCIES += nut.la
748 endif
749
750 if BUILD_PLUGIN_OLSRD
751 pkglib_LTLIBRARIES += olsrd.la
752 olsrd_la_SOURCES = olsrd.c
753 olsrd_la_LDFLAGS = -module -avoid-version
754 olsrd_la_LIBADD = 
755 if BUILD_WITH_LIBSOCKET
756 olsrd_la_LIBADD += -lsocket
757 endif
758 collectd_LDADD += "-dlopen" olsrd.la
759 collectd_DEPENDENCIES += olsrd.la
760 endif
761
762 if BUILD_PLUGIN_ONEWIRE
763 pkglib_LTLIBRARIES += onewire.la
764 onewire_la_SOURCES = onewire.c
765 onewire_la_CFLAGS = $(AM_CFLAGS)
766 onewire_la_CPPFLAGS = $(BUILD_WITH_LIBOWCAPI_CPPFLAGS)
767 onewire_la_LIBADD = $(BUILD_WITH_LIBOWCAPI_LIBS)
768 onewire_la_LDFLAGS = -module -avoid-version
769 collectd_LDADD += "-dlopen" onewire.la
770 collectd_DEPENDENCIES += onewire.la
771 endif
772
773 if BUILD_PLUGIN_OPENVPN
774 pkglib_LTLIBRARIES += openvpn.la
775 openvpn_la_SOURCES = openvpn.c
776 openvpn_la_CFLAGS = $(AM_CFLAGS)
777 openvpn_la_LDFLAGS = -module -avoid-version
778 collectd_LDADD += "-dlopen" openvpn.la
779 collectd_DEPENDENCIES += openvpn.la
780 endif
781
782 if BUILD_PLUGIN_ORACLE
783 pkglib_LTLIBRARIES += oracle.la
784 oracle_la_SOURCES = oracle.c \
785         utils_db_query.c utils_db_query.h
786 oracle_la_CFLAGS = $(AM_CFLAGS)
787 oracle_la_CPPFLAGS = $(BUILD_WITH_ORACLE_CFLAGS)
788 oracle_la_LIBADD = $(BUILD_WITH_ORACLE_LIBS)
789 oracle_la_LDFLAGS = -module -avoid-version
790 collectd_LDADD += "-dlopen" oracle.la
791 collectd_DEPENDENCIES += oracle.la
792 endif
793
794 if BUILD_PLUGIN_PERL
795 pkglib_LTLIBRARIES += perl.la
796 perl_la_SOURCES = perl.c
797 # Despite C99 providing the "bool" type thru stdbool.h, Perl defines its own
798 # version of that type if HAS_BOOL is not defined... *sigh*
799 perl_la_CPPFLAGS = $(AM_CPPFLAGS) -DHAS_BOOL=1
800 perl_la_CFLAGS  = $(AM_CFLAGS) \
801                 $(PERL_CFLAGS) \
802                 -DXS_VERSION=\"$(VERSION)\" -DVERSION=\"$(VERSION)\"
803 # Work-around for issues #41 and #42 - Perl 5.10 incorrectly introduced
804 # __attribute__nonnull__(3) for Perl_load_module().
805 if HAVE_BROKEN_PERL_LOAD_MODULE
806 perl_la_CFLAGS += -Wno-nonnull
807 endif
808 perl_la_LDFLAGS = -module -avoid-version \
809                 $(PERL_LDFLAGS)
810 collectd_LDADD += "-dlopen" perl.la
811 collectd_DEPENDENCIES += perl.la
812 endif
813
814 if BUILD_PLUGIN_PINBA
815 BUILT_SOURCES += pinba.pb-c.c pinba.pb-c.h
816 CLEANFILES += pinba.pb-c.c pinba.pb-c.h
817 pkglib_LTLIBRARIES += pinba.la
818 pinba_la_SOURCES = pinba.c
819 pinba_la_LDFLAGS = -module -avoid-version
820 pinba_la_LIBADD = -lprotobuf-c
821 collectd_LDADD += "-dlopen" pinba.la
822 collectd_DEPENDENCIES += pinba.la
823 endif
824
825 if BUILD_PLUGIN_PING
826 pkglib_LTLIBRARIES += ping.la
827 ping_la_SOURCES = ping.c
828 ping_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBOPING_CPPFLAGS)
829 ping_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBOPING_LDFLAGS)
830 ping_la_LIBADD = -loping -lm
831 collectd_LDADD += "-dlopen" ping.la
832 collectd_DEPENDENCIES += ping.la
833 endif
834
835 if BUILD_PLUGIN_POSTGRESQL
836 pkglib_LTLIBRARIES += postgresql.la
837 postgresql_la_SOURCES = postgresql.c \
838                  utils_db_query.c utils_db_query.h
839 postgresql_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBPQ_CPPFLAGS)
840 postgresql_la_LDFLAGS = -module -avoid-version \
841                 $(BUILD_WITH_LIBPQ_LDFLAGS)
842 postgresql_la_LIBADD = -lpq
843 collectd_LDADD += "-dlopen" postgresql.la
844 collectd_DEPENDENCIES += postgresql.la
845 endif
846
847 if BUILD_PLUGIN_POWERDNS
848 pkglib_LTLIBRARIES += powerdns.la
849 powerdns_la_SOURCES = powerdns.c
850 powerdns_la_LDFLAGS = -module -avoid-version
851 collectd_LDADD += "-dlopen" powerdns.la
852 collectd_DEPENDENCIES += powerdns.la
853 endif
854
855 if BUILD_PLUGIN_PYTHON
856 pkglib_LTLIBRARIES += python.la
857 python_la_SOURCES = python.c pyconfig.c pyvalues.c cpython.h
858 python_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_PYTHON_CPPFLAGS)
859 python_la_CFLAGS = $(AM_CFLAGS)
860 if COMPILER_IS_GCC
861 python_la_CFLAGS += -fno-strict-aliasing -Wno-strict-aliasing
862 endif
863 python_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_PYTHON_LDFLAGS)
864 python_la_LIBADD = $(BUILD_WITH_PYTHON_LIBS)
865 collectd_LDADD += "-dlopen" python.la
866 collectd_DEPENDENCIES += python.la
867 endif
868
869 if BUILD_PLUGIN_PROCESSES
870 pkglib_LTLIBRARIES += processes.la
871 processes_la_SOURCES = processes.c
872 processes_la_LDFLAGS = -module -avoid-version
873 processes_la_LIBADD =
874 collectd_LDADD += "-dlopen" processes.la
875 collectd_DEPENDENCIES += processes.la
876 if BUILD_WITH_LIBKVM_GETPROCS
877 processes_la_LIBADD += -lkvm
878 endif
879 endif
880
881 if BUILD_PLUGIN_PROTOCOLS
882 pkglib_LTLIBRARIES += protocols.la
883 protocols_la_SOURCES = protocols.c
884 protocols_la_LDFLAGS = -module -avoid-version
885 collectd_LDADD += "-dlopen" protocols.la
886 collectd_DEPENDENCIES += protocols.la
887 endif
888
889 if BUILD_PLUGIN_ROUTEROS
890 pkglib_LTLIBRARIES += routeros.la
891 routeros_la_SOURCES = routeros.c
892 routeros_la_CPPFLAGS = $(BUILD_WITH_LIBROUTEROS_CPPFLAGS)
893 routeros_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBROUTEROS_LDFLAGS)
894 routeros_la_LIBADD = -lrouteros
895 collectd_LDADD += "-dlopen" routeros.la
896 collectd_DEPENDENCIES += routeros.la
897 endif
898
899 if BUILD_PLUGIN_RRDCACHED
900 pkglib_LTLIBRARIES += rrdcached.la
901 rrdcached_la_SOURCES = rrdcached.c utils_rrdcreate.c utils_rrdcreate.h
902 rrdcached_la_LDFLAGS = -module -avoid-version
903 rrdcached_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBRRD_CFLAGS)
904 rrdcached_la_LIBADD = $(BUILD_WITH_LIBRRD_LDFLAGS)
905 collectd_LDADD += "-dlopen" rrdcached.la
906 collectd_DEPENDENCIES += rrdcached.la
907 endif
908
909 if BUILD_PLUGIN_RRDTOOL
910 pkglib_LTLIBRARIES += rrdtool.la
911 rrdtool_la_SOURCES = rrdtool.c utils_rrdcreate.c utils_rrdcreate.h
912 rrdtool_la_LDFLAGS = -module -avoid-version
913 rrdtool_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBRRD_CFLAGS)
914 rrdtool_la_LIBADD = $(BUILD_WITH_LIBRRD_LDFLAGS)
915 collectd_LDADD += "-dlopen" rrdtool.la
916 collectd_DEPENDENCIES += rrdtool.la
917 endif
918
919 if BUILD_PLUGIN_SENSORS
920 pkglib_LTLIBRARIES += sensors.la
921 sensors_la_SOURCES = sensors.c
922 sensors_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBSENSORS_CFLAGS)
923 sensors_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBSENSORS_LDFLAGS)
924 sensors_la_LIBADD = -lsensors
925 collectd_LDADD += "-dlopen" sensors.la
926 collectd_DEPENDENCIES += sensors.la
927 endif
928
929 if BUILD_PLUGIN_SERIAL
930 pkglib_LTLIBRARIES += serial.la
931 serial_la_SOURCES = serial.c
932 serial_la_LDFLAGS = -module -avoid-version
933 collectd_LDADD += "-dlopen" serial.la
934 collectd_DEPENDENCIES += serial.la
935 endif
936
937 if BUILD_PLUGIN_SNMP
938 pkglib_LTLIBRARIES += snmp.la
939 snmp_la_SOURCES = snmp.c
940 snmp_la_LDFLAGS = -module -avoid-version
941 snmp_la_CFLAGS = $(AM_CFLAGS)
942 snmp_la_LIBADD =
943 if BUILD_WITH_LIBNETSNMP
944 snmp_la_CFLAGS += $(BUILD_WITH_LIBSNMP_CFLAGS)
945 snmp_la_LIBADD += $(BUILD_WITH_LIBSNMP_LIBS)
946 endif
947 if BUILD_WITH_LIBPTHREAD
948 snmp_la_LIBADD += -lpthread
949 endif
950 collectd_LDADD += "-dlopen" snmp.la
951 collectd_DEPENDENCIES += snmp.la
952 endif
953
954 if BUILD_PLUGIN_SWAP
955 pkglib_LTLIBRARIES += swap.la
956 swap_la_SOURCES = swap.c
957 swap_la_CFLAGS = $(AM_CFLAGS)
958 swap_la_LDFLAGS = -module -avoid-version
959 swap_la_LIBADD =
960 collectd_LDADD += "-dlopen" swap.la
961 collectd_DEPENDENCIES += swap.la
962 if BUILD_WITH_LIBKSTAT
963 swap_la_LIBADD += -lkstat
964 endif
965 if BUILD_WITH_LIBDEVINFO
966 swap_la_LIBADD += -ldevinfo
967 endif
968 if BUILD_WITH_LIBKVM_GETSWAPINFO
969 swap_la_LIBADD += -lkvm
970 endif
971 if BUILD_WITH_LIBSTATGRAB
972 swap_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
973 swap_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
974 endif
975 if BUILD_WITH_PERFSTAT
976 swap_la_LIBADD += -lperfstat
977 endif
978
979 endif
980
981 if BUILD_PLUGIN_SYSLOG
982 pkglib_LTLIBRARIES += syslog.la
983 syslog_la_SOURCES = syslog.c
984 syslog_la_LDFLAGS = -module -avoid-version
985 collectd_LDADD += "-dlopen" syslog.la
986 collectd_DEPENDENCIES += syslog.la
987 endif
988
989 if BUILD_PLUGIN_TABLE
990 pkglib_LTLIBRARIES += table.la
991 table_la_SOURCES = table.c
992 table_la_LDFLAGS = -module -avoid-version
993 collectd_LDADD += "-dlopen" table.la
994 collectd_DEPENDENCIES += table.la
995 endif
996
997 if BUILD_PLUGIN_TAIL
998 pkglib_LTLIBRARIES += tail.la
999 tail_la_SOURCES = tail.c
1000 tail_la_LDFLAGS = -module -avoid-version
1001 collectd_LDADD += "-dlopen" tail.la
1002 collectd_DEPENDENCIES += tail.la
1003 endif
1004
1005 if BUILD_PLUGIN_TAPE
1006 pkglib_LTLIBRARIES += tape.la
1007 tape_la_SOURCES = tape.c
1008 tape_la_LDFLAGS = -module -avoid-version
1009 tape_la_LIBADD = -lkstat -ldevinfo
1010 collectd_LDADD += "-dlopen" tape.la
1011 collectd_DEPENDENCIES += tape.la
1012 endif
1013
1014 if BUILD_PLUGIN_TARGET_NOTIFICATION
1015 pkglib_LTLIBRARIES += target_notification.la
1016 target_notification_la_SOURCES = target_notification.c
1017 target_notification_la_LDFLAGS = -module -avoid-version
1018 collectd_LDADD += "-dlopen" target_notification.la
1019 collectd_DEPENDENCIES += target_notification.la
1020 endif
1021
1022 if BUILD_PLUGIN_TARGET_REPLACE
1023 pkglib_LTLIBRARIES += target_replace.la
1024 target_replace_la_SOURCES = target_replace.c
1025 target_replace_la_LDFLAGS = -module -avoid-version
1026 collectd_LDADD += "-dlopen" target_replace.la
1027 collectd_DEPENDENCIES += target_replace.la
1028 endif
1029
1030 if BUILD_PLUGIN_TARGET_SCALE
1031 pkglib_LTLIBRARIES += target_scale.la
1032 target_scale_la_SOURCES = target_scale.c
1033 target_scale_la_LDFLAGS = -module -avoid-version
1034 collectd_LDADD += "-dlopen" target_scale.la
1035 collectd_DEPENDENCIES += target_scale.la
1036 endif
1037
1038 if BUILD_PLUGIN_TARGET_SET
1039 pkglib_LTLIBRARIES += target_set.la
1040 target_set_la_SOURCES = target_set.c
1041 target_set_la_LDFLAGS = -module -avoid-version
1042 collectd_LDADD += "-dlopen" target_set.la
1043 collectd_DEPENDENCIES += target_set.la
1044 endif
1045
1046 if BUILD_PLUGIN_TCPCONNS
1047 pkglib_LTLIBRARIES += tcpconns.la
1048 tcpconns_la_SOURCES = tcpconns.c
1049 tcpconns_la_LDFLAGS = -module -avoid-version
1050 tcpconns_la_LIBADD =
1051 collectd_LDADD += "-dlopen" tcpconns.la
1052 collectd_DEPENDENCIES += tcpconns.la
1053 if BUILD_WITH_LIBKVM_NLIST
1054 tcpconns_la_LIBADD += -lkvm
1055 endif
1056 endif
1057
1058 if BUILD_PLUGIN_TEAMSPEAK2
1059 pkglib_LTLIBRARIES += teamspeak2.la
1060 teamspeak2_la_SOURCES = teamspeak2.c
1061 teamspeak2_la_LDFLAGS = -module -avoid-version
1062 collectd_LDADD += "-dlopen" teamspeak2.la
1063 collectd_DEPENDENCIES += teamspeak2.la
1064 endif
1065
1066 if BUILD_PLUGIN_TED
1067 pkglib_LTLIBRARIES += ted.la
1068 ted_la_SOURCES = ted.c
1069 ted_la_LDFLAGS = -module -avoid-version
1070 collectd_LDADD += "-dlopen" ted.la
1071 collectd_DEPENDENCIES += ted.la
1072 endif
1073
1074 if BUILD_PLUGIN_THERMAL
1075 pkglib_LTLIBRARIES += thermal.la
1076 thermal_la_SOURCES = thermal.c
1077 thermal_la_LDFLAGS = -module -avoid-version
1078 collectd_LDADD += "-dlopen" thermal.la
1079 collectd_DEPENDENCIES += thermal.la
1080 endif
1081
1082 if BUILD_PLUGIN_TOKYOTYRANT
1083 pkglib_LTLIBRARIES += tokyotyrant.la
1084 tokyotyrant_la_SOURCES = tokyotyrant.c
1085 tokyotyrant_la_CPPFLAGS  = $(AM_CPPFLAGS) $(BUILD_WITH_LIBTOKYOTYRANT_CPPFLAGS)
1086 tokyotyrant_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBTOKYOTYRANT_LDFLAGS)
1087 tokyotyrant_la_LIBADD  = $(BUILD_WITH_LIBTOKYOTYRANT_LIBS)
1088 if BUILD_WITH_LIBSOCKET
1089 tokyotyrant_la_LIBADD += -lsocket
1090 endif
1091 collectd_LDADD += "-dlopen" tokyotyrant.la
1092 collectd_DEPENDENCIES += tokyotyrant.la
1093 endif
1094
1095 if BUILD_PLUGIN_UNIXSOCK
1096 pkglib_LTLIBRARIES += unixsock.la
1097 unixsock_la_SOURCES = unixsock.c \
1098                       utils_cmd_flush.h utils_cmd_flush.c \
1099                       utils_cmd_getval.h utils_cmd_getval.c \
1100                       utils_cmd_getthreshold.h utils_cmd_getthreshold.c \
1101                       utils_cmd_listval.h utils_cmd_listval.c \
1102                       utils_cmd_putval.h utils_cmd_putval.c \
1103                       utils_cmd_putnotif.h utils_cmd_putnotif.c
1104 unixsock_la_LDFLAGS = -module -avoid-version
1105 unixsock_la_LIBADD = -lpthread
1106 collectd_LDADD += "-dlopen" unixsock.la
1107 collectd_DEPENDENCIES += unixsock.la
1108 endif
1109
1110 if BUILD_PLUGIN_UPTIME
1111 pkglib_LTLIBRARIES += uptime.la
1112 uptime_la_SOURCES = uptime.c
1113 uptime_la_CFLAGS = $(AM_CFLAGS)
1114 uptime_la_LDFLAGS = -module -avoid-version
1115 uptime_la_LIBADD =
1116 if BUILD_WITH_LIBKSTAT
1117 uptime_la_LIBADD += -lkstat
1118 endif
1119 collectd_LDADD += "-dlopen" uptime.la
1120 collectd_DEPENDENCIES += uptime.la
1121 endif
1122
1123 if BUILD_PLUGIN_USERS
1124 pkglib_LTLIBRARIES += users.la
1125 users_la_SOURCES = users.c
1126 users_la_CFLAGS = $(AM_CFLAGS)
1127 users_la_LDFLAGS = -module -avoid-version
1128 users_la_LIBADD =
1129 if BUILD_WITH_LIBSTATGRAB
1130 users_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
1131 users_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
1132 endif
1133 collectd_LDADD += "-dlopen" users.la
1134 collectd_DEPENDENCIES += users.la
1135 endif
1136
1137 if BUILD_PLUGIN_UUID
1138 pkglib_LTLIBRARIES += uuid.la
1139 uuid_la_SOURCES = uuid.c
1140 uuid_la_CFLAGS  = $(AM_CFLAGS) $(BUILD_WITH_LIBHAL_CFLAGS)
1141 uuid_la_LIBADD  = $(BUILD_WITH_LIBHAL_LIBS)
1142 uuid_la_LDFLAGS = -module -avoid-version
1143 collectd_LDADD += "-dlopen" uuid.la
1144 collectd_DEPENDENCIES += uuid.la
1145 endif
1146
1147 if BUILD_PLUGIN_VMEM
1148 pkglib_LTLIBRARIES += vmem.la
1149 vmem_la_SOURCES = vmem.c
1150 vmem_la_LDFLAGS = -module -avoid-version
1151 collectd_LDADD += "-dlopen" vmem.la
1152 collectd_DEPENDENCIES += vmem.la
1153 endif
1154
1155 if BUILD_PLUGIN_VSERVER
1156 pkglib_LTLIBRARIES += vserver.la
1157 vserver_la_SOURCES = vserver.c
1158 vserver_la_LDFLAGS = -module -avoid-version
1159 collectd_LDADD += "-dlopen" vserver.la
1160 collectd_DEPENDENCIES += vserver.la
1161 endif
1162
1163 if BUILD_PLUGIN_WIRELESS
1164 pkglib_LTLIBRARIES += wireless.la
1165 wireless_la_SOURCES = wireless.c
1166 wireless_la_LDFLAGS = -module -avoid-version
1167 collectd_LDADD += "-dlopen" wireless.la
1168 collectd_DEPENDENCIES += wireless.la
1169 endif
1170
1171 if BUILD_PLUGIN_WRITE_HTTP
1172 pkglib_LTLIBRARIES += write_http.la
1173 write_http_la_SOURCES = write_http.c \
1174                         utils_format_json.c utils_format_json.h
1175 write_http_la_LDFLAGS = -module -avoid-version
1176 write_http_la_CFLAGS = $(AM_CFLAGS)
1177 write_http_la_LIBADD =
1178 collectd_LDADD += "-dlopen" write_http.la
1179 if BUILD_WITH_LIBCURL
1180 write_http_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
1181 write_http_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
1182 endif
1183 collectd_DEPENDENCIES += write_http.la
1184 endif
1185
1186 if BUILD_PLUGIN_XMMS
1187 pkglib_LTLIBRARIES += xmms.la
1188 xmms_la_SOURCES = xmms.c
1189 xmms_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBXMMS_CFLAGS)
1190 xmms_la_LDFLAGS = -module -avoid-version
1191 xmms_la_LIBADD = $(BUILD_WITH_LIBXMMS_LIBS)
1192 collectd_LDADD += "-dlopen" xmms.la
1193 collectd_DEPENDENCIES += xmms.la
1194 endif
1195
1196 if BUILD_PLUGIN_ZFS_ARC
1197 pkglib_LTLIBRARIES += zfs_arc.la
1198 zfs_arc_la_SOURCES = zfs_arc.c
1199 zfs_arc_la_CFLAGS = $(AM_CFLAGS)
1200 zfs_arc_la_LDFLAGS = -module -avoid-version
1201 zfs_arc_la_LIBADD = -lkstat
1202 collectd_LDADD += "-dlopen" zfs_arc.la
1203 collectd_DEPENDENCIES += zfs_arc.la
1204 endif
1205
1206 BUILT_SOURCES += $(dist_man_MANS)
1207
1208 dist_man_MANS = collectd.1 \
1209                 collectd.conf.5 \
1210                 collectd-email.5 \
1211                 collectd-exec.5 \
1212                 collectd-java.5 \
1213                 collectdmon.1 \
1214                 collectd-nagios.1 \
1215                 collectd-perl.5 \
1216                 collectd-python.5 \
1217                 collectd-snmp.5 \
1218                 collectd-unixsock.5 \
1219                 types.db.5
1220
1221 #collectd_1_SOURCES = collectd.pod
1222
1223 EXTRA_DIST = types.db pinba.proto
1224
1225 EXTRA_DIST +=   collectd.conf.pod \
1226                 collectd-email.pod \
1227                 collectd-exec.pod \
1228                 collectd-java.pod \
1229                 collectdmon.pod \
1230                 collectd-nagios.pod \
1231                 collectd-perl.pod \
1232                 collectd-python.pod \
1233                 collectd.pod \
1234                 collectd-snmp.pod \
1235                 collectd-unixsock.pod \
1236                 postgresql_default.conf \
1237                 types.db.pod
1238
1239 .pod.1:
1240         pod2man --release=$(VERSION) --center=$(PACKAGE) $< \
1241                 >.pod2man.tmp.$$$$ 2>/dev/null && mv -f .pod2man.tmp.$$$$ $@ || true
1242         @if grep '\<POD ERRORS\>' $@ >/dev/null 2>&1; \
1243         then \
1244                 echo "$@ has some POD errors!"; false; \
1245         fi
1246
1247 .pod.5:
1248         pod2man --section=5 --release=$(VERSION) --center=$(PACKAGE) $< \
1249                 >.pod2man.tmp.$$$$ 2>/dev/null && mv -f .pod2man.tmp.$$$$ $@ || true
1250         @if grep '\<POD ERRORS\>' $@ >/dev/null 2>&1; \
1251         then \
1252                 echo "$@ has some POD errors!"; false; \
1253         fi
1254
1255 pinba.pb-c.c pinba.pb-c.h: pinba.proto
1256         protoc-c --c_out $(builddir) pinba.proto
1257
1258 install-exec-hook:
1259         $(mkinstalldirs) $(DESTDIR)$(sysconfdir)
1260         if test -e $(DESTDIR)$(sysconfdir)/collectd.conf; \
1261         then \
1262                 $(INSTALL) -m 0640 collectd.conf $(DESTDIR)$(sysconfdir)/collectd.conf.pkg-orig; \
1263         else \
1264                 $(INSTALL) -m 0640 collectd.conf $(DESTDIR)$(sysconfdir)/collectd.conf; \
1265         fi; \
1266         $(mkinstalldirs) $(DESTDIR)$(pkgdatadir)
1267         $(INSTALL) -m 0644 $(srcdir)/types.db $(DESTDIR)$(pkgdatadir)/types.db;
1268         $(INSTALL) -m 0644 $(srcdir)/postgresql_default.conf \
1269                 $(DESTDIR)$(pkgdatadir)/postgresql_default.conf;
1270
1271 uninstall-hook:
1272         rm -f $(DESTDIR)$(pkgdatadir)/types.db;
1273         rm -f $(DESTDIR)$(sysconfdir)/collectd.conf
1274         rm -f $(DESTDIR)$(pkgdatadir)/postgresql_default.conf;