Plugin for Linux Software-RAID devices
[collectd.git] / src / Makefile.am
1 SUBDIRS = libcollectdclient
2 if BUILD_WITH_OWN_LIBOCONFIG
3 SUBDIRS += liboconfig
4 endif
5
6 if COMPILER_IS_GCC
7 AM_CFLAGS = -Wall -Werror
8 endif
9
10 AM_CPPFLAGS = -DPREFIX='"${prefix}"'
11 AM_CPPFLAGS += -DCONFIGFILE='"${sysconfdir}/${PACKAGE_NAME}.conf"'
12 AM_CPPFLAGS += -DLOCALSTATEDIR='"${localstatedir}"'
13 AM_CPPFLAGS += -DPKGLOCALSTATEDIR='"${localstatedir}/lib/${PACKAGE_NAME}"'
14 if BUILD_FEATURE_DAEMON
15 AM_CPPFLAGS += -DPIDFILE='"${localstatedir}/run/${PACKAGE_NAME}.pid"'
16 endif
17 AM_CPPFLAGS += -DPLUGINDIR='"${pkglibdir}"'
18 AM_CPPFLAGS += -DPKGDATADIR='"${pkgdatadir}"'
19
20 sbin_PROGRAMS = collectd collectdmon
21 bin_PROGRAMS = collectd-nagios collectdctl
22
23 collectd_SOURCES = collectd.c collectd.h \
24                    common.c common.h \
25                    configfile.c configfile.h \
26                    filter_chain.c filter_chain.h \
27                    meta_data.c meta_data.h \
28                    plugin.c plugin.h \
29                    utils_avltree.c utils_avltree.h \
30                    utils_cache.c utils_cache.h \
31                    utils_complain.c utils_complain.h \
32                    utils_heap.c utils_heap.h \
33                    utils_ignorelist.c utils_ignorelist.h \
34                    utils_llist.c utils_llist.h \
35                    utils_parse_option.c utils_parse_option.h \
36                    utils_tail_match.c utils_tail_match.h \
37                    utils_match.c utils_match.h \
38                    utils_subst.c utils_subst.h \
39                    utils_tail.c utils_tail.h \
40                    utils_time.c utils_time.h \
41                    types_list.c types_list.h
42
43 collectd_CPPFLAGS =  $(AM_CPPFLAGS) $(LTDLINCL)
44 collectd_CFLAGS = $(AM_CFLAGS)
45 collectd_LDFLAGS = -export-dynamic
46 collectd_LDADD =
47 collectd_DEPENDENCIES =
48
49 # Link to these libraries..
50 if BUILD_WITH_LIBRT
51 collectd_LDADD += -lrt
52 endif
53 if BUILD_WITH_LIBPOSIX4
54 collectd_LDADD += -lposix4
55 endif
56 if BUILD_WITH_LIBSOCKET
57 collectd_LDADD += -lsocket
58 endif
59 if BUILD_WITH_LIBRESOLV
60 collectd_LDADD += -lresolv
61 endif
62 if BUILD_WITH_LIBPTHREAD
63 collectd_LDADD += -lpthread
64 endif
65 if BUILD_WITH_LIBKSTAT
66 collectd_LDADD += -lkstat
67 endif
68 if BUILD_WITH_LIBDEVINFO
69 collectd_LDADD += -ldevinfo
70 endif
71 if BUILD_AIX
72 collectd_LDFLAGS += -Wl,-bexpall,-brtllib
73 collectd_LDADD += -lm
74 endif
75
76 # The daemon needs to call sg_init, so we need to link it against libstatgrab,
77 # too. -octo
78 if BUILD_WITH_LIBSTATGRAB
79 collectd_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
80 collectd_LDADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
81 endif
82
83 if BUILD_WITH_OWN_LIBOCONFIG
84 collectd_LDADD += $(LIBLTDL) liboconfig/liboconfig.la
85 collectd_DEPENDENCIES += $(LIBLTDL) liboconfig/liboconfig.la
86 else
87 collectd_LDADD += -loconfig
88 endif
89
90 collectdmon_SOURCES = collectdmon.c
91 collectdmon_CPPFLAGS = $(AM_CPPFLAGS)
92
93 collectd_nagios_SOURCES = collectd-nagios.c
94 collectd_nagios_LDADD =
95 if BUILD_WITH_LIBSOCKET
96 collectd_nagios_LDADD += -lsocket
97 endif
98 if BUILD_AIX
99 collectd_nagios_LDADD += -lm
100 endif
101
102 collectd_nagios_LDADD += libcollectdclient/libcollectdclient.la
103 collectd_nagios_DEPENDENCIES = libcollectdclient/libcollectdclient.la
104
105
106 collectdctl_SOURCES = collectdctl.c
107 collectdctl_LDADD =
108 if BUILD_WITH_LIBSOCKET
109 collectdctl_LDADD += -lsocket
110 endif
111 if BUILD_AIX
112 collectdctl_LDADD += -lm
113 endif
114 collectdctl_LDADD += libcollectdclient/libcollectdclient.la
115 collectdctl_DEPENDENCIES = libcollectdclient/libcollectdclient.la
116
117
118 pkglib_LTLIBRARIES = 
119
120 BUILT_SOURCES = 
121 CLEANFILES = 
122
123 if BUILD_PLUGIN_AMQP
124 pkglib_LTLIBRARIES += amqp.la
125 amqp_la_SOURCES = amqp.c \
126                   utils_cmd_putval.c utils_cmd_putval.h \
127                   utils_format_json.c utils_format_json.h
128 amqp_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBRABBITMQ_LDFLAGS)
129 amqp_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBRABBITMQ_CPPFLAGS)
130 amqp_la_LIBADD = $(BUILD_WITH_LIBRABBITMQ_LIBS)
131 collectd_LDADD += "-dlopen" amqp.la
132 collectd_DEPENDENCIES += amqp.la
133 endif
134
135 if BUILD_PLUGIN_APACHE
136 pkglib_LTLIBRARIES += apache.la
137 apache_la_SOURCES = apache.c
138 apache_la_LDFLAGS = -module -avoid-version
139 apache_la_CFLAGS = $(AM_CFLAGS)
140 apache_la_LIBADD =
141 collectd_LDADD += "-dlopen" apache.la
142 if BUILD_WITH_LIBCURL
143 apache_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
144 apache_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
145 endif
146 collectd_DEPENDENCIES += apache.la
147 endif
148
149 if BUILD_PLUGIN_APCUPS
150 pkglib_LTLIBRARIES += apcups.la
151 apcups_la_SOURCES = apcups.c
152 apcups_la_LDFLAGS = -module -avoid-version
153 apcups_la_LIBADD =
154 if BUILD_WITH_LIBSOCKET
155 apcups_la_LIBADD += -lsocket
156 endif
157 collectd_LDADD += "-dlopen" apcups.la
158 collectd_DEPENDENCIES += apcups.la
159 endif
160
161 if BUILD_PLUGIN_APPLE_SENSORS
162 pkglib_LTLIBRARIES += apple_sensors.la
163 apple_sensors_la_SOURCES = apple_sensors.c
164 apple_sensors_la_LDFLAGS = -module -avoid-version
165 apple_sensors_la_LIBADD = -lIOKit
166 collectd_LDADD += "-dlopen" apple_sensors.la
167 collectd_DEPENDENCIES += apple_sensors.la
168 endif
169
170 if BUILD_PLUGIN_ASCENT
171 pkglib_LTLIBRARIES += ascent.la
172 ascent_la_SOURCES = ascent.c
173 ascent_la_LDFLAGS = -module -avoid-version
174 ascent_la_CFLAGS = $(AM_CFLAGS) \
175                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
176 ascent_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
177 collectd_LDADD += "-dlopen" ascent.la
178 collectd_DEPENDENCIES += ascent.la
179 endif
180
181 if BUILD_PLUGIN_BATTERY
182 pkglib_LTLIBRARIES += battery.la
183 battery_la_SOURCES = battery.c
184 battery_la_LDFLAGS = -module -avoid-version
185 battery_la_LIBADD =
186 if BUILD_WITH_LIBIOKIT
187 battery_la_LIBADD += -lIOKit
188 endif
189 collectd_LDADD += "-dlopen" battery.la
190 collectd_DEPENDENCIES += battery.la
191 endif
192
193 if BUILD_PLUGIN_BIND
194 pkglib_LTLIBRARIES += bind.la
195 bind_la_SOURCES = bind.c
196 bind_la_LDFLAGS = -module -avoid-version
197 bind_la_CFLAGS = $(AM_CFLAGS) \
198                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
199 bind_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
200 collectd_LDADD += "-dlopen" bind.la
201 collectd_DEPENDENCIES += bind.la
202 endif
203
204 if BUILD_PLUGIN_CONNTRACK
205 pkglib_LTLIBRARIES += conntrack.la
206 conntrack_la_SOURCES = conntrack.c
207 conntrack_la_LDFLAGS = -module -avoid-version
208 collectd_LDADD += "-dlopen" conntrack.la
209 collectd_DEPENDENCIES += conntrack.la
210 endif
211
212 if BUILD_PLUGIN_CONTEXTSWITCH
213 pkglib_LTLIBRARIES += contextswitch.la
214 contextswitch_la_SOURCES = contextswitch.c
215 contextswitch_la_LDFLAGS = -module -avoid-version
216 collectd_LDADD += "-dlopen" contextswitch.la
217 collectd_DEPENDENCIES += contextswitch.la
218 endif
219
220 if BUILD_PLUGIN_CPU
221 pkglib_LTLIBRARIES += cpu.la
222 cpu_la_SOURCES = cpu.c
223 cpu_la_CFLAGS = $(AM_CFLAGS)
224 cpu_la_LDFLAGS = -module -avoid-version
225 cpu_la_LIBADD = 
226 if BUILD_WITH_LIBKSTAT
227 cpu_la_LIBADD += -lkstat
228 endif
229 if BUILD_WITH_LIBDEVINFO
230 cpu_la_LIBADD += -ldevinfo
231 endif
232 if BUILD_WITH_LIBSTATGRAB
233 cpu_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
234 cpu_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
235 endif
236 if BUILD_WITH_PERFSTAT
237 cpu_la_LIBADD += -lperfstat
238 endif
239 collectd_LDADD += "-dlopen" cpu.la
240 collectd_DEPENDENCIES += cpu.la
241 endif
242
243 if BUILD_PLUGIN_CPUFREQ
244 pkglib_LTLIBRARIES += cpufreq.la
245 cpufreq_la_SOURCES = cpufreq.c
246 cpufreq_la_LDFLAGS = -module -avoid-version
247 collectd_LDADD += "-dlopen" cpufreq.la
248 collectd_DEPENDENCIES += cpufreq.la
249 endif
250
251 if BUILD_PLUGIN_CSV
252 pkglib_LTLIBRARIES += csv.la
253 csv_la_SOURCES = csv.c
254 csv_la_LDFLAGS = -module -avoid-version
255 collectd_LDADD += "-dlopen" csv.la
256 collectd_DEPENDENCIES += csv.la
257 endif
258
259 if BUILD_PLUGIN_CURL
260 pkglib_LTLIBRARIES += curl.la
261 curl_la_SOURCES = curl.c
262 curl_la_LDFLAGS = -module -avoid-version
263 curl_la_CFLAGS = $(AM_CFLAGS)
264 curl_la_LIBADD =
265 collectd_LDADD += "-dlopen" curl.la
266 if BUILD_WITH_LIBCURL
267 curl_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
268 curl_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
269 endif
270 collectd_DEPENDENCIES += curl.la
271 endif
272
273 if BUILD_PLUGIN_CURL_JSON
274 pkglib_LTLIBRARIES += curl_json.la
275 curl_json_la_SOURCES = curl_json.c
276 curl_json_la_CFLAGS = $(AM_CFLAGS)
277 curl_json_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBYAJL_LDFLAGS)
278 curl_json_la_CPPFLAGS = $(BUILD_WITH_LIBYAJL_CPPFLAGS)
279 curl_json_la_LIBADD = $(BUILD_WITH_LIBYAJL_LIBS)
280 if BUILD_WITH_LIBCURL
281 curl_json_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
282 curl_json_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
283 endif
284 collectd_LDADD += "-dlopen" curl_json.la
285 collectd_DEPENDENCIES += curl_json.la
286 endif
287
288 if BUILD_PLUGIN_CURL_XML
289 pkglib_LTLIBRARIES += curl_xml.la
290 curl_xml_la_SOURCES = curl_xml.c
291 curl_xml_la_LDFLAGS = -module -avoid-version
292 curl_xml_la_CFLAGS = $(AM_CFLAGS) \
293                 $(BUILD_WITH_LIBCURL_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
294 curl_xml_la_LIBADD = $(BUILD_WITH_LIBCURL_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
295 collectd_LDADD += "-dlopen" curl_xml.la
296 collectd_DEPENDENCIES += curl_xml.la
297 endif
298
299 if BUILD_PLUGIN_DBI
300 pkglib_LTLIBRARIES += dbi.la
301 dbi_la_SOURCES = dbi.c \
302                  utils_db_query.c utils_db_query.h
303 dbi_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBDBI_CPPFLAGS)
304 dbi_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBDBI_LDFLAGS)
305 dbi_la_LIBADD = $(BUILD_WITH_LIBDBI_LIBS)
306 collectd_LDADD += "-dlopen" dbi.la
307 collectd_DEPENDENCIES += dbi.la
308 endif
309
310 if BUILD_PLUGIN_DF
311 pkglib_LTLIBRARIES += df.la
312 df_la_SOURCES = df.c utils_mount.c utils_mount.h
313 df_la_LDFLAGS = -module -avoid-version
314 collectd_LDADD += "-dlopen" df.la
315 collectd_DEPENDENCIES += df.la
316 endif
317
318 if BUILD_PLUGIN_DISK
319 pkglib_LTLIBRARIES += disk.la
320 disk_la_SOURCES = disk.c
321 disk_la_CFLAGS = $(AM_CFLAGS)
322 disk_la_LDFLAGS = -module -avoid-version
323 disk_la_LIBADD = 
324 if BUILD_WITH_LIBKSTAT
325 disk_la_LIBADD += -lkstat
326 endif
327 if BUILD_WITH_LIBDEVINFO
328 disk_la_LIBADD += -ldevinfo
329 endif
330 if BUILD_WITH_LIBIOKIT
331 disk_la_LIBADD += -lIOKit
332 endif
333 if BUILD_WITH_LIBSTATGRAB
334 disk_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)  
335 disk_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
336 endif
337 if BUILD_WITH_PERFSTAT
338 disk_la_LIBADD += -lperfstat
339 endif
340 collectd_LDADD += "-dlopen" disk.la
341 collectd_DEPENDENCIES += disk.la
342 endif
343
344 if BUILD_PLUGIN_DNS
345 pkglib_LTLIBRARIES += dns.la
346 dns_la_SOURCES = dns.c utils_dns.c utils_dns.h
347 dns_la_LDFLAGS = -module -avoid-version
348 dns_la_LIBADD = -lpcap -lpthread
349 collectd_LDADD += "-dlopen" dns.la
350 collectd_DEPENDENCIES += dns.la
351 endif
352
353 if BUILD_PLUGIN_EMAIL
354 pkglib_LTLIBRARIES += email.la
355 email_la_SOURCES = email.c
356 email_la_LDFLAGS = -module -avoid-version
357 email_la_LIBADD = -lpthread
358 collectd_LDADD += "-dlopen" email.la
359 collectd_DEPENDENCIES += email.la
360 endif
361
362 if BUILD_PLUGIN_ENTROPY
363 pkglib_LTLIBRARIES += entropy.la
364 entropy_la_SOURCES = entropy.c
365 entropy_la_LDFLAGS = -module -avoid-version
366 collectd_LDADD += "-dlopen" entropy.la
367 collectd_DEPENDENCIES += entropy.la
368 endif
369
370 if BUILD_PLUGIN_EXEC
371 pkglib_LTLIBRARIES += exec.la
372 exec_la_SOURCES = exec.c \
373                   utils_cmd_putnotif.c utils_cmd_putnotif.h \
374                   utils_cmd_putval.c utils_cmd_putval.h
375 exec_la_LDFLAGS = -module -avoid-version
376 exec_la_LIBADD = -lpthread
377 collectd_LDADD += "-dlopen" exec.la
378 collectd_DEPENDENCIES += exec.la
379 endif
380
381 if BUILD_PLUGIN_FILECOUNT
382 pkglib_LTLIBRARIES += filecount.la
383 filecount_la_SOURCES = filecount.c
384 filecount_la_LDFLAGS = -module -avoid-version
385 collectd_LDADD += "-dlopen" filecount.la
386 collectd_DEPENDENCIES += filecount.la
387 endif
388
389 if BUILD_PLUGIN_GMOND
390 pkglib_LTLIBRARIES += gmond.la
391 gmond_la_SOURCES = gmond.c
392 gmond_la_CPPFLAGS = $(AM_CPPFLAGS) $(GANGLIA_CPPFLAGS)
393 gmond_la_LDFLAGS = -module -avoid-version $(GANGLIA_LDFLAGS)
394 gmond_la_LIBADD = $(GANGLIA_LIBS)
395 collectd_LDADD += "-dlopen" gmond.la
396 collectd_DEPENDENCIES += gmond.la
397 endif
398
399 if BUILD_PLUGIN_HDDTEMP
400 pkglib_LTLIBRARIES += hddtemp.la
401 hddtemp_la_SOURCES = hddtemp.c
402 hddtemp_la_LDFLAGS = -module -avoid-version
403 hddtemp_la_LIBADD =
404 if BUILD_WITH_LIBSOCKET
405 hddtemp_la_LIBADD += -lsocket
406 endif
407 collectd_LDADD += "-dlopen" hddtemp.la
408 collectd_DEPENDENCIES += hddtemp.la
409 endif
410
411 if BUILD_PLUGIN_INTERFACE
412 pkglib_LTLIBRARIES += interface.la
413 interface_la_SOURCES = interface.c
414 interface_la_CFLAGS = $(AM_CFLAGS)
415 interface_la_LDFLAGS = -module -avoid-version
416 interface_la_LIBADD =
417 collectd_LDADD += "-dlopen" interface.la
418 collectd_DEPENDENCIES += interface.la
419 if BUILD_WITH_LIBSTATGRAB
420 interface_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
421 interface_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
422 else
423 if BUILD_WITH_LIBKSTAT
424 interface_la_LIBADD += -lkstat
425 endif
426 if BUILD_WITH_LIBDEVINFO
427 interface_la_LIBADD += -ldevinfo
428 endif # BUILD_WITH_LIBDEVINFO
429 endif # !BUILD_WITH_LIBSTATGRAB
430 if BUILD_WITH_PERFSTAT
431 interface_la_LIBADD += -lperfstat
432 endif
433 endif # BUILD_PLUGIN_INTERFACE
434
435 if BUILD_PLUGIN_IPTABLES
436 pkglib_LTLIBRARIES += iptables.la
437 iptables_la_SOURCES = iptables.c
438 iptables_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBIPTC_CPPFLAGS)
439 iptables_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBIPTC_LDFLAGS)
440 iptables_la_LIBADD = -liptc
441 collectd_LDADD += "-dlopen" iptables.la
442 collectd_DEPENDENCIES += iptables.la
443 endif
444
445 if BUILD_PLUGIN_IPMI
446 pkglib_LTLIBRARIES += ipmi.la
447 ipmi_la_SOURCES = ipmi.c
448 ipmi_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_OPENIPMI_CFLAGS)
449 ipmi_la_LDFLAGS = -module -avoid-version
450 ipmi_la_LIBADD = $(BUILD_WITH_OPENIPMI_LIBS)
451 collectd_LDADD += "-dlopen" ipmi.la
452 collectd_DEPENDENCIES += ipmi.la
453 endif
454
455 if BUILD_PLUGIN_IPVS
456 pkglib_LTLIBRARIES += ipvs.la
457 ipvs_la_SOURCES = ipvs.c
458 if IP_VS_H_NEEDS_KERNEL_CFLAGS
459 ipvs_la_CFLAGS = $(AM_CFLAGS) $(KERNEL_CFLAGS)
460 endif
461 ipvs_la_LDFLAGS = -module -avoid-version
462 collectd_LDADD += "-dlopen" ipvs.la
463 collectd_DEPENDENCIES += ipvs.la
464 endif
465
466 if BUILD_PLUGIN_IRQ
467 pkglib_LTLIBRARIES += irq.la
468 irq_la_SOURCES = irq.c
469 irq_la_LDFLAGS = -module -avoid-version
470 collectd_LDADD += "-dlopen" irq.la
471 collectd_DEPENDENCIES += irq.la
472 endif
473
474 if BUILD_PLUGIN_JAVA
475 pkglib_LTLIBRARIES += java.la
476 java_la_SOURCES = java.c
477 java_la_CPPFLAGS = $(AM_CPPFLAGS) $(JAVA_CPPFLAGS)
478 java_la_CFLAGS = $(AM_CFLAGS) $(JAVA_CFLAGS)
479 java_la_LDFLAGS = -module -avoid-version $(JAVA_LDFLAGS)
480 java_la_LIBADD = $(JAVA_LIBS)
481 collectd_LDADD += "-dlopen" java.la
482 collectd_DEPENDENCIES += java.la
483 endif
484
485 if BUILD_PLUGIN_LIBVIRT
486 pkglib_LTLIBRARIES += libvirt.la
487 libvirt_la_SOURCES = libvirt.c
488 libvirt_la_CFLAGS = $(AM_CFLAGS) \
489                 $(BUILD_WITH_LIBVIRT_CFLAGS) $(BUILD_WITH_LIBXML2_CFLAGS)
490 libvirt_la_LIBADD = $(BUILD_WITH_LIBVIRT_LIBS) $(BUILD_WITH_LIBXML2_LIBS)
491 libvirt_la_LDFLAGS = -module -avoid-version
492 collectd_LDADD += "-dlopen" libvirt.la
493 collectd_DEPENDENCIES += libvirt.la
494 endif
495
496 if BUILD_PLUGIN_LOAD
497 pkglib_LTLIBRARIES += load.la
498 load_la_SOURCES = load.c
499 load_la_CFLAGS = $(AM_CFLAGS)
500 load_la_LDFLAGS = -module -avoid-version
501 load_la_LIBADD =
502 collectd_LDADD += "-dlopen" load.la
503 collectd_DEPENDENCIES += load.la
504 if BUILD_WITH_LIBSTATGRAB
505 load_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
506 load_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
507 endif # BUILD_WITH_LIBSTATGRAB
508 if BUILD_WITH_PERFSTAT
509 load_la_LIBADD += -lperfstat
510 endif
511 endif # BUILD_PLUGIN_LOAD
512
513 if BUILD_PLUGIN_LOGFILE
514 pkglib_LTLIBRARIES += logfile.la
515 logfile_la_SOURCES = logfile.c
516 logfile_la_LDFLAGS = -module -avoid-version
517 collectd_LDADD += "-dlopen" logfile.la
518 collectd_DEPENDENCIES += logfile.la
519 endif
520
521 if BUILD_PLUGIN_LPAR
522 pkglib_LTLIBRARIES += lpar.la
523 lpar_la_SOURCES = lpar.c
524 lpar_la_LDFLAGS = -module -avoid-version
525 collectd_LDADD += "-dlopen" lpar.la
526 collectd_DEPENDENCIES += lpar.la
527 lpar_la_LIBADD = -lperfstat
528 endif
529
530 if BUILD_PLUGIN_MADWIFI
531 pkglib_LTLIBRARIES += madwifi.la
532 madwifi_la_SOURCES = madwifi.c madwifi.h
533 madwifi_la_LDFLAGS = -module -avoid-version
534 collectd_LDADD += "-dlopen" madwifi.la
535 collectd_DEPENDENCIES += madwifi.la
536 endif
537
538 if BUILD_PLUGIN_MATCH_EMPTY_COUNTER
539 pkglib_LTLIBRARIES += match_empty_counter.la
540 match_empty_counter_la_SOURCES = match_empty_counter.c
541 match_empty_counter_la_LDFLAGS = -module -avoid-version
542 collectd_LDADD += "-dlopen" match_empty_counter.la
543 collectd_DEPENDENCIES += match_empty_counter.la
544 endif
545
546 if BUILD_PLUGIN_MATCH_HASHED
547 pkglib_LTLIBRARIES += match_hashed.la
548 match_hashed_la_SOURCES = match_hashed.c
549 match_hashed_la_LDFLAGS = -module -avoid-version
550 collectd_LDADD += "-dlopen" match_hashed.la
551 collectd_DEPENDENCIES += match_hashed.la
552 endif
553
554 if BUILD_PLUGIN_MATCH_REGEX
555 pkglib_LTLIBRARIES += match_regex.la
556 match_regex_la_SOURCES = match_regex.c
557 match_regex_la_LDFLAGS = -module -avoid-version
558 collectd_LDADD += "-dlopen" match_regex.la
559 collectd_DEPENDENCIES += match_regex.la
560 endif
561
562 if BUILD_PLUGIN_MATCH_TIMEDIFF
563 pkglib_LTLIBRARIES += match_timediff.la
564 match_timediff_la_SOURCES = match_timediff.c
565 match_timediff_la_LDFLAGS = -module -avoid-version
566 collectd_LDADD += "-dlopen" match_timediff.la
567 collectd_DEPENDENCIES += match_timediff.la
568 endif
569
570 if BUILD_PLUGIN_MATCH_VALUE
571 pkglib_LTLIBRARIES += match_value.la
572 match_value_la_SOURCES = match_value.c
573 match_value_la_LDFLAGS = -module -avoid-version
574 collectd_LDADD += "-dlopen" match_value.la
575 collectd_DEPENDENCIES += match_value.la
576 endif
577
578 if BUILD_PLUGIN_MBMON
579 pkglib_LTLIBRARIES += mbmon.la
580 mbmon_la_SOURCES = mbmon.c
581 mbmon_la_LDFLAGS = -module -avoid-version
582 mbmon_la_LIBADD =
583 if BUILD_WITH_LIBSOCKET
584 mbmon_la_LIBADD += -lsocket
585 endif
586 collectd_LDADD += "-dlopen" mbmon.la
587 collectd_DEPENDENCIES += mbmon.la
588 endif
589
590 if BUILD_PLUGIN_MD
591 pkglib_LTLIBRARIES += md.la
592 md_la_SOURCES = md.c
593 md_la_LDFLAGS = -module -avoid-version
594 collectd_LDADD += "-dlopen" md.la
595 collectd_DEPENDENCIES += md.la
596 endif
597
598 if BUILD_PLUGIN_MEMCACHEC
599 pkglib_LTLIBRARIES += memcachec.la
600 memcachec_la_SOURCES = memcachec.c
601 memcachec_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBMEMCACHED_LDFLAGS)
602 memcachec_la_CPPFLAGS = $(BUILD_WITH_LIBMEMCACHED_CPPFLAGS)
603 memcachec_la_LIBADD = $(BUILD_WITH_LIBMEMCACHED_LIBS)
604 collectd_LDADD += "-dlopen" memcachec.la
605 collectd_DEPENDENCIES += memcachec.la
606 endif
607
608 if BUILD_PLUGIN_MEMCACHED
609 pkglib_LTLIBRARIES += memcached.la
610 memcached_la_SOURCES = memcached.c
611 memcached_la_LDFLAGS = -module -avoid-version
612 memcached_la_LIBADD =
613 if BUILD_WITH_LIBSOCKET
614 memcached_la_LIBADD += -lsocket
615 endif
616 collectd_LDADD += "-dlopen" memcached.la
617 collectd_DEPENDENCIES += memcached.la
618 endif
619
620 if BUILD_PLUGIN_MEMORY
621 pkglib_LTLIBRARIES += memory.la
622 memory_la_SOURCES = memory.c
623 memory_la_CFLAGS = $(AM_CFLAGS)
624 memory_la_LDFLAGS = -module -avoid-version
625 memory_la_LIBADD =
626 collectd_LDADD += "-dlopen" memory.la
627 collectd_DEPENDENCIES += memory.la
628 if BUILD_WITH_LIBKSTAT
629 memory_la_LIBADD += -lkstat
630 endif
631 if BUILD_WITH_LIBDEVINFO
632 memory_la_LIBADD += -ldevinfo
633 endif
634 if BUILD_WITH_LIBSTATGRAB
635 memory_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
636 memory_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
637 endif
638 if BUILD_WITH_PERFSTAT
639 memory_la_LIBADD += -lperfstat
640 endif
641 endif
642
643 if BUILD_PLUGIN_MODBUS
644 pkglib_LTLIBRARIES += modbus.la
645 modbus_la_SOURCES = modbus.c
646 modbus_la_LDFLAGS = -module -avoid-version
647 modbus_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBMODBUS_CFLAGS)
648 modbus_la_LIBADD = $(BUILD_WITH_LIBMODBUS_LIBS)
649 collectd_LDADD += "-dlopen" modbus.la
650 collectd_DEPENDENCIES += modbus.la
651 endif
652
653 if BUILD_PLUGIN_MULTIMETER
654 pkglib_LTLIBRARIES += multimeter.la
655 multimeter_la_SOURCES = multimeter.c
656 multimeter_la_LDFLAGS = -module -avoid-version
657 collectd_LDADD += "-dlopen" multimeter.la
658 collectd_DEPENDENCIES += multimeter.la
659 endif
660
661 if BUILD_PLUGIN_MYSQL
662 pkglib_LTLIBRARIES += mysql.la
663 mysql_la_SOURCES = mysql.c
664 mysql_la_LDFLAGS = -module -avoid-version
665 mysql_la_CFLAGS = $(AM_CFLAGS)
666 mysql_la_LIBADD =
667 collectd_LDADD += "-dlopen" mysql.la
668 if BUILD_WITH_LIBMYSQL
669 mysql_la_CFLAGS += $(BUILD_WITH_LIBMYSQL_CFLAGS)
670 mysql_la_LIBADD += $(BUILD_WITH_LIBMYSQL_LIBS)
671 endif
672 collectd_DEPENDENCIES += mysql.la
673 endif
674
675 if BUILD_PLUGIN_NETAPP
676 pkglib_LTLIBRARIES += netapp.la
677 netapp_la_SOURCES = netapp.c
678 netapp_la_CPPFLAGS = $(AM_CPPFLAGS) $(LIBNETAPP_CPPFLAGS)
679 netapp_la_LDFLAGS = -module -avoid-version $(LIBNETAPP_LDFLAGS)
680 netapp_la_LIBADD = $(LIBNETAPP_LIBS)
681 collectd_LDADD += "-dlopen" netapp.la
682 collectd_DEPENDENCIES += netapp.la
683 endif
684
685 if BUILD_PLUGIN_NETLINK
686 pkglib_LTLIBRARIES += netlink.la
687 netlink_la_SOURCES = netlink.c
688 netlink_la_LDFLAGS = -module -avoid-version
689 netlink_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBNETLINK_CFLAGS)
690 netlink_la_LIBADD = $(BUILD_WITH_LIBNETLINK_LIBS)
691 collectd_LDADD += "-dlopen" netlink.la
692 collectd_DEPENDENCIES += netlink.la
693 endif
694
695 if BUILD_PLUGIN_NETWORK
696 pkglib_LTLIBRARIES += network.la
697 network_la_SOURCES = network.c network.h \
698                      utils_fbhash.c utils_fbhash.h
699 network_la_CPPFLAGS = $(AM_CPPFLAGS)
700 network_la_LDFLAGS = -module -avoid-version
701 network_la_LIBADD = -lpthread
702 if BUILD_WITH_LIBSOCKET
703 network_la_LIBADD += -lsocket
704 endif
705 if BUILD_WITH_LIBGCRYPT
706 network_la_CPPFLAGS += $(GCRYPT_CPPFLAGS)
707 network_la_LDFLAGS += $(GCRYPT_LDFLAGS)
708 network_la_LIBADD += $(GCRYPT_LIBS)
709 endif
710 collectd_LDADD += "-dlopen" network.la
711 collectd_DEPENDENCIES += network.la
712 endif
713
714 if BUILD_PLUGIN_NFS
715 pkglib_LTLIBRARIES += nfs.la
716 nfs_la_SOURCES = nfs.c
717 nfs_la_LDFLAGS = -module -avoid-version
718 collectd_LDADD += "-dlopen" nfs.la
719 collectd_DEPENDENCIES += nfs.la
720 endif
721
722 if BUILD_PLUGIN_FSCACHE
723 pkglib_LTLIBRARIES += fscache.la
724 fscache_la_SOURCES = fscache.c
725 fscache_la_LDFLAGS = -module -avoid-version
726 collectd_LDADD += "-dlopen" fscache.la
727 collectd_DEPENDENCIES += fscache.la
728 endif
729
730 if BUILD_PLUGIN_NGINX
731 pkglib_LTLIBRARIES += nginx.la
732 nginx_la_SOURCES = nginx.c
733 nginx_la_CFLAGS = $(AM_CFLAGS)
734 nginx_la_LIBADD =
735 nginx_la_LDFLAGS = -module -avoid-version
736 if BUILD_WITH_LIBCURL
737 nginx_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
738 nginx_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
739 endif
740 collectd_LDADD += "-dlopen" nginx.la
741 collectd_DEPENDENCIES += nginx.la
742 endif
743
744 if BUILD_PLUGIN_NOTIFY_DESKTOP
745 pkglib_LTLIBRARIES += notify_desktop.la
746 notify_desktop_la_SOURCES = notify_desktop.c
747 notify_desktop_la_CFLAGS = $(AM_CFLAGS) $(LIBNOTIFY_CFLAGS)
748 notify_desktop_la_LDFLAGS = -module -avoid-version
749 notify_desktop_la_LIBADD = $(LIBNOTIFY_LIBS)
750 collectd_LDADD += "-dlopen" notify_desktop.la
751 collectd_DEPENDENCIES += notify_desktop.la
752 endif
753
754 if BUILD_PLUGIN_NOTIFY_EMAIL
755 pkglib_LTLIBRARIES += notify_email.la
756 notify_email_la_SOURCES = notify_email.c
757 notify_email_la_LDFLAGS = -module -avoid-version
758 notify_email_la_LIBADD = -lesmtp -lssl -lcrypto -lpthread -ldl
759 collectd_LDADD += "-dlopen" notify_email.la
760 collectd_DEPENDENCIES += notify_email.la
761 endif
762
763 if BUILD_PLUGIN_NTPD
764 pkglib_LTLIBRARIES += ntpd.la
765 ntpd_la_SOURCES = ntpd.c
766 ntpd_la_LDFLAGS = -module -avoid-version
767 ntpd_la_LIBADD =
768 if BUILD_WITH_LIBSOCKET
769 ntpd_la_LIBADD += -lsocket
770 endif
771 collectd_LDADD += "-dlopen" ntpd.la
772 collectd_DEPENDENCIES += ntpd.la
773 endif
774
775 if BUILD_PLUGIN_NUT
776 pkglib_LTLIBRARIES += nut.la
777 nut_la_SOURCES = nut.c
778 nut_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBUPSCLIENT_CFLAGS)
779 nut_la_LDFLAGS = -module -avoid-version
780 nut_la_LIBADD = -lpthread $(BUILD_WITH_LIBUPSCLIENT_LIBS)
781 collectd_LDADD += "-dlopen" nut.la
782 collectd_DEPENDENCIES += nut.la
783 endif
784
785 if BUILD_PLUGIN_OLSRD
786 pkglib_LTLIBRARIES += olsrd.la
787 olsrd_la_SOURCES = olsrd.c
788 olsrd_la_LDFLAGS = -module -avoid-version
789 olsrd_la_LIBADD = 
790 if BUILD_WITH_LIBSOCKET
791 olsrd_la_LIBADD += -lsocket
792 endif
793 collectd_LDADD += "-dlopen" olsrd.la
794 collectd_DEPENDENCIES += olsrd.la
795 endif
796
797 if BUILD_PLUGIN_ONEWIRE
798 pkglib_LTLIBRARIES += onewire.la
799 onewire_la_SOURCES = onewire.c
800 onewire_la_CFLAGS = $(AM_CFLAGS)
801 onewire_la_CPPFLAGS = $(BUILD_WITH_LIBOWCAPI_CPPFLAGS)
802 onewire_la_LIBADD = $(BUILD_WITH_LIBOWCAPI_LIBS)
803 onewire_la_LDFLAGS = -module -avoid-version
804 collectd_LDADD += "-dlopen" onewire.la
805 collectd_DEPENDENCIES += onewire.la
806 endif
807
808 if BUILD_PLUGIN_OPENVPN
809 pkglib_LTLIBRARIES += openvpn.la
810 openvpn_la_SOURCES = openvpn.c
811 openvpn_la_CFLAGS = $(AM_CFLAGS)
812 openvpn_la_LDFLAGS = -module -avoid-version
813 collectd_LDADD += "-dlopen" openvpn.la
814 collectd_DEPENDENCIES += openvpn.la
815 endif
816
817 if BUILD_PLUGIN_ORACLE
818 pkglib_LTLIBRARIES += oracle.la
819 oracle_la_SOURCES = oracle.c \
820         utils_db_query.c utils_db_query.h
821 oracle_la_CFLAGS = $(AM_CFLAGS)
822 oracle_la_CPPFLAGS = $(BUILD_WITH_ORACLE_CFLAGS)
823 oracle_la_LIBADD = $(BUILD_WITH_ORACLE_LIBS)
824 oracle_la_LDFLAGS = -module -avoid-version
825 collectd_LDADD += "-dlopen" oracle.la
826 collectd_DEPENDENCIES += oracle.la
827 endif
828
829 if BUILD_PLUGIN_PERL
830 pkglib_LTLIBRARIES += perl.la
831 perl_la_SOURCES = perl.c
832 # Despite C99 providing the "bool" type thru stdbool.h, Perl defines its own
833 # version of that type if HAS_BOOL is not defined... *sigh*
834 perl_la_CPPFLAGS = $(AM_CPPFLAGS) -DHAS_BOOL=1
835 perl_la_CFLAGS  = $(AM_CFLAGS) \
836                 $(PERL_CFLAGS) \
837                 -DXS_VERSION=\"$(VERSION)\" -DVERSION=\"$(VERSION)\"
838 # Work-around for issues #41 and #42 - Perl 5.10 incorrectly introduced
839 # __attribute__nonnull__(3) for Perl_load_module().
840 if HAVE_BROKEN_PERL_LOAD_MODULE
841 perl_la_CFLAGS += -Wno-nonnull
842 endif
843 perl_la_LDFLAGS = -module -avoid-version \
844                 $(PERL_LDFLAGS)
845 collectd_LDADD += "-dlopen" perl.la
846 collectd_DEPENDENCIES += perl.la
847 endif
848
849 if BUILD_PLUGIN_PINBA
850 BUILT_SOURCES += pinba.pb-c.c pinba.pb-c.h
851 CLEANFILES += pinba.pb-c.c pinba.pb-c.h
852 pkglib_LTLIBRARIES += pinba.la
853 pinba_la_SOURCES = pinba.c
854 pinba_la_LDFLAGS = -module -avoid-version
855 pinba_la_LIBADD = -lprotobuf-c
856 collectd_LDADD += "-dlopen" pinba.la
857 collectd_DEPENDENCIES += pinba.la
858 endif
859
860 if BUILD_PLUGIN_PING
861 pkglib_LTLIBRARIES += ping.la
862 ping_la_SOURCES = ping.c
863 ping_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBOPING_CPPFLAGS)
864 ping_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBOPING_LDFLAGS)
865 ping_la_LIBADD = -loping -lm
866 collectd_LDADD += "-dlopen" ping.la
867 collectd_DEPENDENCIES += ping.la
868 endif
869
870 if BUILD_PLUGIN_POSTGRESQL
871 pkglib_LTLIBRARIES += postgresql.la
872 postgresql_la_SOURCES = postgresql.c \
873                  utils_db_query.c utils_db_query.h
874 postgresql_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBPQ_CPPFLAGS)
875 postgresql_la_LDFLAGS = -module -avoid-version \
876                 $(BUILD_WITH_LIBPQ_LDFLAGS)
877 postgresql_la_LIBADD = -lpq
878 collectd_LDADD += "-dlopen" postgresql.la
879 collectd_DEPENDENCIES += postgresql.la
880 endif
881
882 if BUILD_PLUGIN_POWERDNS
883 pkglib_LTLIBRARIES += powerdns.la
884 powerdns_la_SOURCES = powerdns.c
885 powerdns_la_LDFLAGS = -module -avoid-version
886 collectd_LDADD += "-dlopen" powerdns.la
887 collectd_DEPENDENCIES += powerdns.la
888 endif
889
890 if BUILD_PLUGIN_PYTHON
891 pkglib_LTLIBRARIES += python.la
892 python_la_SOURCES = python.c pyconfig.c pyvalues.c cpython.h
893 python_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_PYTHON_CPPFLAGS)
894 python_la_CFLAGS = $(AM_CFLAGS)
895 if COMPILER_IS_GCC
896 python_la_CFLAGS += -fno-strict-aliasing -Wno-strict-aliasing
897 endif
898 python_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_PYTHON_LDFLAGS)
899 python_la_LIBADD = $(BUILD_WITH_PYTHON_LIBS)
900 collectd_LDADD += "-dlopen" python.la
901 collectd_DEPENDENCIES += python.la
902 endif
903
904 if BUILD_PLUGIN_PROCESSES
905 pkglib_LTLIBRARIES += processes.la
906 processes_la_SOURCES = processes.c
907 processes_la_LDFLAGS = -module -avoid-version
908 processes_la_LIBADD =
909 collectd_LDADD += "-dlopen" processes.la
910 collectd_DEPENDENCIES += processes.la
911 if BUILD_WITH_LIBKVM_GETPROCS
912 processes_la_LIBADD += -lkvm
913 endif
914 endif
915
916 if BUILD_PLUGIN_PROTOCOLS
917 pkglib_LTLIBRARIES += protocols.la
918 protocols_la_SOURCES = protocols.c
919 protocols_la_LDFLAGS = -module -avoid-version
920 collectd_LDADD += "-dlopen" protocols.la
921 collectd_DEPENDENCIES += protocols.la
922 endif
923
924 if BUILD_PLUGIN_REDIS
925 pkglib_LTLIBRARIES += redis.la
926 redis_la_SOURCES = redis.c
927 redis_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBCREDIS_LDFLAGS)
928 redis_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBCREDIS_CPPFLAGS)
929 redis_la_LIBADD = -lcredis
930 collectd_LDADD += "-dlopen" redis.la
931 collectd_DEPENDENCIES += redis.la
932 endif
933
934 if BUILD_PLUGIN_ROUTEROS
935 pkglib_LTLIBRARIES += routeros.la
936 routeros_la_SOURCES = routeros.c
937 routeros_la_CPPFLAGS = $(BUILD_WITH_LIBROUTEROS_CPPFLAGS)
938 routeros_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBROUTEROS_LDFLAGS)
939 routeros_la_LIBADD = -lrouteros
940 collectd_LDADD += "-dlopen" routeros.la
941 collectd_DEPENDENCIES += routeros.la
942 endif
943
944 if BUILD_PLUGIN_RRDCACHED
945 pkglib_LTLIBRARIES += rrdcached.la
946 rrdcached_la_SOURCES = rrdcached.c utils_rrdcreate.c utils_rrdcreate.h
947 rrdcached_la_LDFLAGS = -module -avoid-version
948 rrdcached_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBRRD_CFLAGS)
949 rrdcached_la_LIBADD = $(BUILD_WITH_LIBRRD_LDFLAGS)
950 collectd_LDADD += "-dlopen" rrdcached.la
951 collectd_DEPENDENCIES += rrdcached.la
952 endif
953
954 if BUILD_PLUGIN_RRDTOOL
955 pkglib_LTLIBRARIES += rrdtool.la
956 rrdtool_la_SOURCES = rrdtool.c utils_rrdcreate.c utils_rrdcreate.h
957 rrdtool_la_LDFLAGS = -module -avoid-version
958 rrdtool_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBRRD_CFLAGS)
959 rrdtool_la_LIBADD = $(BUILD_WITH_LIBRRD_LDFLAGS)
960 collectd_LDADD += "-dlopen" rrdtool.la
961 collectd_DEPENDENCIES += rrdtool.la
962 endif
963
964 if BUILD_PLUGIN_SENSORS
965 pkglib_LTLIBRARIES += sensors.la
966 sensors_la_SOURCES = sensors.c
967 sensors_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBSENSORS_CFLAGS)
968 sensors_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBSENSORS_LDFLAGS)
969 sensors_la_LIBADD = -lsensors
970 collectd_LDADD += "-dlopen" sensors.la
971 collectd_DEPENDENCIES += sensors.la
972 endif
973
974 if BUILD_PLUGIN_SERIAL
975 pkglib_LTLIBRARIES += serial.la
976 serial_la_SOURCES = serial.c
977 serial_la_LDFLAGS = -module -avoid-version
978 collectd_LDADD += "-dlopen" serial.la
979 collectd_DEPENDENCIES += serial.la
980 endif
981
982 if BUILD_PLUGIN_SNMP
983 pkglib_LTLIBRARIES += snmp.la
984 snmp_la_SOURCES = snmp.c
985 snmp_la_LDFLAGS = -module -avoid-version
986 snmp_la_CFLAGS = $(AM_CFLAGS)
987 snmp_la_LIBADD =
988 if BUILD_WITH_LIBNETSNMP
989 snmp_la_CFLAGS += $(BUILD_WITH_LIBSNMP_CFLAGS)
990 snmp_la_LIBADD += $(BUILD_WITH_LIBSNMP_LIBS)
991 endif
992 if BUILD_WITH_LIBPTHREAD
993 snmp_la_LIBADD += -lpthread
994 endif
995 collectd_LDADD += "-dlopen" snmp.la
996 collectd_DEPENDENCIES += snmp.la
997 endif
998
999 if BUILD_PLUGIN_SWAP
1000 pkglib_LTLIBRARIES += swap.la
1001 swap_la_SOURCES = swap.c
1002 swap_la_CFLAGS = $(AM_CFLAGS)
1003 swap_la_LDFLAGS = -module -avoid-version
1004 swap_la_LIBADD =
1005 collectd_LDADD += "-dlopen" swap.la
1006 collectd_DEPENDENCIES += swap.la
1007 if BUILD_WITH_LIBKSTAT
1008 swap_la_LIBADD += -lkstat
1009 endif
1010 if BUILD_WITH_LIBDEVINFO
1011 swap_la_LIBADD += -ldevinfo
1012 endif
1013 if BUILD_WITH_LIBKVM_GETSWAPINFO
1014 swap_la_LIBADD += -lkvm
1015 endif
1016 if BUILD_WITH_LIBSTATGRAB
1017 swap_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
1018 swap_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
1019 endif
1020 if BUILD_WITH_PERFSTAT
1021 swap_la_LIBADD += -lperfstat
1022 endif
1023
1024 endif
1025
1026 if BUILD_PLUGIN_SYSLOG
1027 pkglib_LTLIBRARIES += syslog.la
1028 syslog_la_SOURCES = syslog.c
1029 syslog_la_LDFLAGS = -module -avoid-version
1030 collectd_LDADD += "-dlopen" syslog.la
1031 collectd_DEPENDENCIES += syslog.la
1032 endif
1033
1034 if BUILD_PLUGIN_TABLE
1035 pkglib_LTLIBRARIES += table.la
1036 table_la_SOURCES = table.c
1037 table_la_LDFLAGS = -module -avoid-version
1038 collectd_LDADD += "-dlopen" table.la
1039 collectd_DEPENDENCIES += table.la
1040 endif
1041
1042 if BUILD_PLUGIN_TAIL
1043 pkglib_LTLIBRARIES += tail.la
1044 tail_la_SOURCES = tail.c
1045 tail_la_LDFLAGS = -module -avoid-version
1046 collectd_LDADD += "-dlopen" tail.la
1047 collectd_DEPENDENCIES += tail.la
1048 endif
1049
1050 if BUILD_PLUGIN_TAPE
1051 pkglib_LTLIBRARIES += tape.la
1052 tape_la_SOURCES = tape.c
1053 tape_la_LDFLAGS = -module -avoid-version
1054 tape_la_LIBADD = -lkstat -ldevinfo
1055 collectd_LDADD += "-dlopen" tape.la
1056 collectd_DEPENDENCIES += tape.la
1057 endif
1058
1059 if BUILD_PLUGIN_TARGET_NOTIFICATION
1060 pkglib_LTLIBRARIES += target_notification.la
1061 target_notification_la_SOURCES = target_notification.c
1062 target_notification_la_LDFLAGS = -module -avoid-version
1063 collectd_LDADD += "-dlopen" target_notification.la
1064 collectd_DEPENDENCIES += target_notification.la
1065 endif
1066
1067 if BUILD_PLUGIN_TARGET_REPLACE
1068 pkglib_LTLIBRARIES += target_replace.la
1069 target_replace_la_SOURCES = target_replace.c
1070 target_replace_la_LDFLAGS = -module -avoid-version
1071 collectd_LDADD += "-dlopen" target_replace.la
1072 collectd_DEPENDENCIES += target_replace.la
1073 endif
1074
1075 if BUILD_PLUGIN_TARGET_SCALE
1076 pkglib_LTLIBRARIES += target_scale.la
1077 target_scale_la_SOURCES = target_scale.c
1078 target_scale_la_LDFLAGS = -module -avoid-version
1079 collectd_LDADD += "-dlopen" target_scale.la
1080 collectd_DEPENDENCIES += target_scale.la
1081 endif
1082
1083 if BUILD_PLUGIN_TARGET_SET
1084 pkglib_LTLIBRARIES += target_set.la
1085 target_set_la_SOURCES = target_set.c
1086 target_set_la_LDFLAGS = -module -avoid-version
1087 collectd_LDADD += "-dlopen" target_set.la
1088 collectd_DEPENDENCIES += target_set.la
1089 endif
1090
1091 if BUILD_PLUGIN_TARGET_V5UPGRADE
1092 pkglib_LTLIBRARIES += target_v5upgrade.la
1093 target_v5upgrade_la_SOURCES = target_v5upgrade.c
1094 target_v5upgrade_la_LDFLAGS = -module -avoid-version
1095 collectd_LDADD += "-dlopen" target_v5upgrade.la
1096 collectd_DEPENDENCIES += target_v5upgrade.la
1097 endif
1098
1099 if BUILD_PLUGIN_TCPCONNS
1100 pkglib_LTLIBRARIES += tcpconns.la
1101 tcpconns_la_SOURCES = tcpconns.c
1102 tcpconns_la_LDFLAGS = -module -avoid-version
1103 tcpconns_la_LIBADD =
1104 collectd_LDADD += "-dlopen" tcpconns.la
1105 collectd_DEPENDENCIES += tcpconns.la
1106 if BUILD_WITH_LIBKVM_NLIST
1107 tcpconns_la_LIBADD += -lkvm
1108 endif
1109 endif
1110
1111 if BUILD_PLUGIN_TEAMSPEAK2
1112 pkglib_LTLIBRARIES += teamspeak2.la
1113 teamspeak2_la_SOURCES = teamspeak2.c
1114 teamspeak2_la_LDFLAGS = -module -avoid-version
1115 collectd_LDADD += "-dlopen" teamspeak2.la
1116 collectd_DEPENDENCIES += teamspeak2.la
1117 endif
1118
1119 if BUILD_PLUGIN_TED
1120 pkglib_LTLIBRARIES += ted.la
1121 ted_la_SOURCES = ted.c
1122 ted_la_LDFLAGS = -module -avoid-version
1123 collectd_LDADD += "-dlopen" ted.la
1124 collectd_DEPENDENCIES += ted.la
1125 endif
1126
1127 if BUILD_PLUGIN_THERMAL
1128 pkglib_LTLIBRARIES += thermal.la
1129 thermal_la_SOURCES = thermal.c
1130 thermal_la_LDFLAGS = -module -avoid-version
1131 collectd_LDADD += "-dlopen" thermal.la
1132 collectd_DEPENDENCIES += thermal.la
1133 endif
1134
1135 if BUILD_PLUGIN_THRESHOLD
1136 pkglib_LTLIBRARIES += threshold.la
1137 threshold_la_SOURCES = threshold.c
1138 threshold_la_LDFLAGS = -module -avoid-version
1139 collectd_LDADD += "-dlopen" threshold.la
1140 collectd_DEPENDENCIES += threshold.la
1141 endif
1142
1143 if BUILD_PLUGIN_TOKYOTYRANT
1144 pkglib_LTLIBRARIES += tokyotyrant.la
1145 tokyotyrant_la_SOURCES = tokyotyrant.c
1146 tokyotyrant_la_CPPFLAGS  = $(AM_CPPFLAGS) $(BUILD_WITH_LIBTOKYOTYRANT_CPPFLAGS)
1147 tokyotyrant_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBTOKYOTYRANT_LDFLAGS)
1148 tokyotyrant_la_LIBADD  = $(BUILD_WITH_LIBTOKYOTYRANT_LIBS)
1149 if BUILD_WITH_LIBSOCKET
1150 tokyotyrant_la_LIBADD += -lsocket
1151 endif
1152 collectd_LDADD += "-dlopen" tokyotyrant.la
1153 collectd_DEPENDENCIES += tokyotyrant.la
1154 endif
1155
1156 if BUILD_PLUGIN_UNIXSOCK
1157 pkglib_LTLIBRARIES += unixsock.la
1158 unixsock_la_SOURCES = unixsock.c \
1159                       utils_cmd_flush.h utils_cmd_flush.c \
1160                       utils_cmd_getval.h utils_cmd_getval.c \
1161                       utils_cmd_listval.h utils_cmd_listval.c \
1162                       utils_cmd_putval.h utils_cmd_putval.c \
1163                       utils_cmd_putnotif.h utils_cmd_putnotif.c
1164 unixsock_la_LDFLAGS = -module -avoid-version
1165 unixsock_la_LIBADD = -lpthread
1166 collectd_LDADD += "-dlopen" unixsock.la
1167 collectd_DEPENDENCIES += unixsock.la
1168 endif
1169
1170 if BUILD_PLUGIN_UPTIME
1171 pkglib_LTLIBRARIES += uptime.la
1172 uptime_la_SOURCES = uptime.c
1173 uptime_la_CFLAGS = $(AM_CFLAGS)
1174 uptime_la_LDFLAGS = -module -avoid-version
1175 uptime_la_LIBADD =
1176 if BUILD_WITH_LIBKSTAT
1177 uptime_la_LIBADD += -lkstat
1178 endif
1179 collectd_LDADD += "-dlopen" uptime.la
1180 collectd_DEPENDENCIES += uptime.la
1181 endif
1182
1183 if BUILD_PLUGIN_USERS
1184 pkglib_LTLIBRARIES += users.la
1185 users_la_SOURCES = users.c
1186 users_la_CFLAGS = $(AM_CFLAGS)
1187 users_la_LDFLAGS = -module -avoid-version
1188 users_la_LIBADD =
1189 if BUILD_WITH_LIBSTATGRAB
1190 users_la_CFLAGS += $(BUILD_WITH_LIBSTATGRAB_CFLAGS)
1191 users_la_LIBADD += $(BUILD_WITH_LIBSTATGRAB_LDFLAGS)
1192 endif
1193 collectd_LDADD += "-dlopen" users.la
1194 collectd_DEPENDENCIES += users.la
1195 endif
1196
1197 if BUILD_PLUGIN_UUID
1198 pkglib_LTLIBRARIES += uuid.la
1199 uuid_la_SOURCES = uuid.c
1200 uuid_la_CFLAGS  = $(AM_CFLAGS) $(BUILD_WITH_LIBHAL_CFLAGS)
1201 uuid_la_LIBADD  = $(BUILD_WITH_LIBHAL_LIBS)
1202 uuid_la_LDFLAGS = -module -avoid-version
1203 collectd_LDADD += "-dlopen" uuid.la
1204 collectd_DEPENDENCIES += uuid.la
1205 endif
1206
1207 if BUILD_PLUGIN_VARNISH
1208 pkglib_LTLIBRARIES += varnish.la
1209 varnish_la_SOURCES = varnish.c
1210 varnish_la_LDFLAGS = -module -avoid-version
1211 varnish_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBVARNISH_CFLAGS)
1212 varnish_la_LIBADD = $(BUILD_WITH_LIBVARNISH_LIBS)
1213 collectd_LDADD += "-dlopen" varnish.la
1214 collectd_DEPENDENCIES += varnish.la
1215 endif
1216
1217 if BUILD_PLUGIN_VMEM
1218 pkglib_LTLIBRARIES += vmem.la
1219 vmem_la_SOURCES = vmem.c
1220 vmem_la_LDFLAGS = -module -avoid-version
1221 collectd_LDADD += "-dlopen" vmem.la
1222 collectd_DEPENDENCIES += vmem.la
1223 endif
1224
1225 if BUILD_PLUGIN_VSERVER
1226 pkglib_LTLIBRARIES += vserver.la
1227 vserver_la_SOURCES = vserver.c
1228 vserver_la_LDFLAGS = -module -avoid-version
1229 collectd_LDADD += "-dlopen" vserver.la
1230 collectd_DEPENDENCIES += vserver.la
1231 endif
1232
1233 if BUILD_PLUGIN_WIRELESS
1234 pkglib_LTLIBRARIES += wireless.la
1235 wireless_la_SOURCES = wireless.c
1236 wireless_la_LDFLAGS = -module -avoid-version
1237 collectd_LDADD += "-dlopen" wireless.la
1238 collectd_DEPENDENCIES += wireless.la
1239 endif
1240
1241 if BUILD_PLUGIN_WRITE_GRAPHITE
1242 pkglib_LTLIBRARIES += write_graphite.la
1243 write_graphite_la_SOURCES = write_graphite.c \
1244                         utils_format_json.c utils_format_json.h
1245 write_graphite_la_LDFLAGS = -module -avoid-version
1246 collectd_LDADD += "-dlopen" write_graphite.la
1247 collectd_DEPENDENCIES += write_graphite.la
1248 endif
1249
1250 if BUILD_PLUGIN_WRITE_HTTP
1251 pkglib_LTLIBRARIES += write_http.la
1252 write_http_la_SOURCES = write_http.c \
1253                         utils_format_json.c utils_format_json.h
1254 write_http_la_LDFLAGS = -module -avoid-version
1255 write_http_la_CFLAGS = $(AM_CFLAGS)
1256 write_http_la_LIBADD =
1257 collectd_LDADD += "-dlopen" write_http.la
1258 if BUILD_WITH_LIBCURL
1259 write_http_la_CFLAGS += $(BUILD_WITH_LIBCURL_CFLAGS)
1260 write_http_la_LIBADD += $(BUILD_WITH_LIBCURL_LIBS)
1261 endif
1262 collectd_DEPENDENCIES += write_http.la
1263 endif
1264
1265 if BUILD_PLUGIN_WRITE_MONGODB
1266 pkglib_LTLIBRARIES += write_mongodb.la
1267 write_mongodb_la_SOURCES = write_mongodb.c
1268 write_mongodb_la_CPPFLAGS = $(AM_CPPFLAGS) $(BUILD_WITH_LIBMONGOC_CPPFLAGS)
1269 write_mongodb_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBMONGOC_LDFLAGS)
1270 write_mongodb_la_LIBADD = -lmongoc
1271 collectd_LDADD += "-dlopen" write_mongodb.la
1272 collectd_DEPENDENCIES += write_mongodb.la
1273 endif
1274
1275 if BUILD_PLUGIN_WRITE_REDIS
1276 pkglib_LTLIBRARIES += write_redis.la
1277 write_redis_la_SOURCES = write_redis.c
1278 write_redis_la_LDFLAGS = -module -avoid-version $(BUILD_WITH_LIBCREDIS_LDFLAGS)
1279 write_redis_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBCREDIS_CPPFLAGS)
1280 write_redis_la_LIBADD = -lcredis
1281 collectd_LDADD += "-dlopen" write_redis.la
1282 collectd_DEPENDENCIES += write_redis.la
1283 endif
1284
1285 if BUILD_PLUGIN_XMMS
1286 pkglib_LTLIBRARIES += xmms.la
1287 xmms_la_SOURCES = xmms.c
1288 xmms_la_CFLAGS = $(AM_CFLAGS) $(BUILD_WITH_LIBXMMS_CFLAGS)
1289 xmms_la_LDFLAGS = -module -avoid-version
1290 xmms_la_LIBADD = $(BUILD_WITH_LIBXMMS_LIBS)
1291 collectd_LDADD += "-dlopen" xmms.la
1292 collectd_DEPENDENCIES += xmms.la
1293 endif
1294
1295 if BUILD_PLUGIN_ZFS_ARC
1296 pkglib_LTLIBRARIES += zfs_arc.la
1297 zfs_arc_la_SOURCES = zfs_arc.c
1298 zfs_arc_la_CFLAGS = $(AM_CFLAGS)
1299 zfs_arc_la_LDFLAGS = -module -avoid-version
1300 zfs_arc_la_LIBADD = -lkstat
1301 collectd_LDADD += "-dlopen" zfs_arc.la
1302 collectd_DEPENDENCIES += zfs_arc.la
1303 endif
1304
1305 dist_man_MANS = collectd.1 \
1306                 collectd.conf.5 \
1307                 collectd-email.5 \
1308                 collectd-exec.5 \
1309                 collectdctl.1 \
1310                 collectd-java.5 \
1311                 collectdmon.1 \
1312                 collectd-nagios.1 \
1313                 collectd-perl.5 \
1314                 collectd-python.5 \
1315                 collectd-snmp.5 \
1316                 collectd-threshold.5 \
1317                 collectd-unixsock.5 \
1318                 types.db.5
1319
1320 #collectd_1_SOURCES = collectd.pod
1321
1322 EXTRA_DIST = types.db pinba.proto
1323
1324 EXTRA_DIST +=   collectd.conf.pod \
1325                 collectd-email.pod \
1326                 collectd-exec.pod \
1327                 collectdctl.pod \
1328                 collectd-java.pod \
1329                 collectdmon.pod \
1330                 collectd-nagios.pod \
1331                 collectd-perl.pod \
1332                 collectd-python.pod \
1333                 collectd.pod \
1334                 collectd-snmp.pod \
1335                 collectd-threshold.pod \
1336                 collectd-unixsock.pod \
1337                 postgresql_default.conf \
1338                 types.db.pod
1339
1340 .pod.1:
1341         pod2man --release=$(VERSION) --center=$(PACKAGE) $< \
1342                 >.pod2man.tmp.$$$$ 2>/dev/null && mv -f .pod2man.tmp.$$$$ $@ || true
1343         @if grep '\<POD ERRORS\>' $@ >/dev/null 2>&1; \
1344         then \
1345                 echo "$@ has some POD errors!"; false; \
1346         fi
1347
1348 .pod.5:
1349         pod2man --section=5 --release=$(VERSION) --center=$(PACKAGE) $< \
1350                 >.pod2man.tmp.$$$$ 2>/dev/null && mv -f .pod2man.tmp.$$$$ $@ || true
1351         @if grep '\<POD ERRORS\>' $@ >/dev/null 2>&1; \
1352         then \
1353                 echo "$@ has some POD errors!"; false; \
1354         fi
1355
1356 pinba.pb-c.c pinba.pb-c.h: pinba.proto
1357         protoc-c --c_out $(builddir) pinba.proto
1358
1359 install-exec-hook:
1360         $(mkinstalldirs) $(DESTDIR)$(sysconfdir)
1361         if test -e $(DESTDIR)$(sysconfdir)/collectd.conf; \
1362         then \
1363                 $(INSTALL) -m 0640 collectd.conf $(DESTDIR)$(sysconfdir)/collectd.conf.pkg-orig; \
1364         else \
1365                 $(INSTALL) -m 0640 collectd.conf $(DESTDIR)$(sysconfdir)/collectd.conf; \
1366         fi; \
1367         $(mkinstalldirs) $(DESTDIR)$(pkgdatadir)
1368         $(INSTALL) -m 0644 $(srcdir)/types.db $(DESTDIR)$(pkgdatadir)/types.db;
1369         $(INSTALL) -m 0644 $(srcdir)/postgresql_default.conf \
1370                 $(DESTDIR)$(pkgdatadir)/postgresql_default.conf;